Overview of Dual Damascene Cu/Low-k Interconnect

Similar documents
Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Chapter 3 Silicon Device Fabrication Technology

Czochralski Crystal Growth

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Make sure the exam paper has 9 pages total (including cover page)

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Integration Issues with Cu CMP

EECS130 Integrated Circuit Devices

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 5 Thermal Processes

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Copper Interconnect Technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

1.1 Background Cu Dual Damascene Process and Cu-CMP

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

IC Fabrication Technology Part III Devices in Semiconductor Processes

Semiconductor Technology

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Notable Trends in CMP: Past, Present and Future

2008 Summer School on Spin Transfer Torque

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Atomic Layer Deposition(ALD)

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

SKW Wafer Product List

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

ECE321 Electronics I

Complementary Metal Oxide Semiconductor (CMOS)

TSV Interposer Process Flow with IME 300mm Facilities

EE 143 CMOS Process Flow

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Lecture #18 Fabrication OUTLINE

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION.

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Interconnects OUTLINE

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Materials Impact on Interconnects Process Technology and Reliability

Chemical Vapor Deposition

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

MICROCHIP MANUFACTURING by S. Wolf

EE 330 Lecture 9. IC Fabrication Technology Part 2

Oxidation Reactions. This oxide will from only if thermodynamics favour a reaction of the form: M + O 2 = MO 2. Which must form rapidly (favourable(

Process Flow in Cross Sections

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Exam 1 Friday Sept 22

New Materials as an enabler for Advanced Chip Manufacturing

Lect. 2: Basics of Si Technology

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

TANOS Charge-Trapping Flash Memory Structures

Understanding and Reducing Copper Defects

Today s Class. Materials for MEMS

SLURRY FORMULATION OPTIONS

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Chapter 2 Manufacturing Process

Lecture 22: Integrated circuit fabrication

Fabrication Technology, Part I

New Materials and Processes for Advanced Chip Manufacturing

Electromigration Improvement for Advanced Technology Nodes

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Lecture Day 2 Deposition

Review of CMOS Processing Technology

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Doping and Oxidation

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Microelectronic Device Instructional Laboratory. Table of Contents

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

TSV Failure Mechanisms

200mm Next Generation MEMS Technology update. Florent Ducrot

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

Back End Processing (BEP) Needs Statement

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd

Modeling of Local Oxidation Processes

IC/MEMS Fabrication - Outline. Fabrication

Lecture 10. Metallization / Back-end technology (BEOL)

CMOS Manufacturing process. Design rule set

Lam Research Corporation

Via etching in BCB for HBT technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Transcription:

ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org 1 International Sematech, 2706 Montopolis Drive, Austin TX 78741; 2 Intel, Portland, OR 14 August 2003-1

Presentation Outline Interconnect Why ultra low-k? Properties and challenges of porous low-k materials Dual damascene structure Dual damascene process flow Low-k integration issues & potential solutions Conclusion 14 August 2003-2

Interconnect Structure 130nm 6LM 90nm 9LM SEM Cross-sections Courtesy of AMD 14 August 2003-3

100 90 80 70 Why Cu/Low-k?.R*C Product Al & SiO2 Gate Delay Interconnect Delay, Al & SiO2 Interconnect Delay, Cu & Low K Delay in ps 60 50 40 30 20 10 Gate Cu & Low K Interconnect will dominate timing delay. Cu/Low-k buys 1-2 generations. Al Cu SiO2, Low K Al & Cu Al & Cu Line 3.0 uω-cm 1.7 uω-cm K=4.0 K=2.0.8um Thick 436um Long 0 0.5 0.35 0.25 0.18 0.13 0.09 0.065 Generation Data From: Bohr, Mark T; Interconnect Scaling - The Real Limiter to High Performance ULSI ; Proceedings of the 1995, IEEE International Electron Devices Meeting; pp241-242 14 August 2003-4

THE PROBLEM IS RC - HOW FAR CAN YOU GO? A Theoretical Ideal Aluminum (alloy) >>> Copper, R reduction of Resistivity 3.2 1.8 1.8 x SiO2 >>>>>>>>Low-K>>>>> Air, C reduction of Dielectric 4.2 2.1 1.0 2.0x - Low-K Constant 4.2 x - Air RC Reduction of 7.5 Cu/Air 3.5 Cu/Low-k 14 August 2003-5

Properties of Porous Ultra Low-k Materials vs. Oxide Property Density (g/cm 3 ) Dielectric Cons. Modulus (GPa) Hardness (GPa) CTE (ppm/k) Porosity (est.) Avg. Pore Size Thermal Conductivity (W/m K) Low-k 1.03 ~1.9-2.5 ~3-9 ~0.3-0.8 ~10-17 ~35-65% <2.0-10nm 0.26 Oxide 2.2 4.1 55-70 3.5 0.6 NA NA 1.4 14 August 2003-6

Low-k Integration Challenges Low k voiding Cu diffusion / barrier integrity Large pore structure Dielectric cracking 14 August 2003-7

A DUAL DAMASCENE UNIT STRUCTURE Cu Seed A Low-K Cap or Stop Cap or Stop Low-K Cap or Stop Cu Low-K Cap or Stop Barrier for Cu 14 August 2003-8

Dual Damascene Dual Top Hard Mask Process M2 Hard Mask Pattern 1. Low-k CVD or SOD 2. Etch stop / barrier CVD 3. Hard Mask SiO 2 & SiC 4. Conventional Litho Post HM Open Etch & Ash 1. Define Trench w/ etch process 2. Ash resist 3. No low-k exp to ash process 4. Allows litho rework at Via VIA Pattern & Initial Bottom Mask Open 1. Litho Via on hard mask 2. Etch through hard mask Low k VIA Etch 1. Etch low-k @ M2 2. Etch Trench Stop 2. Leaves M2 mask 3. Some low-k exposure to Ash Pot. Low-k Damage 1. Via Structure 14 August 2003-9

Dual Damascene Dual Top Hard Mask Process Ash & Bottom Hard Mask Open 1. in-situ ash (in etch tool) 2. Open (etch) bottom HM Pot. Low-k Damage 1. Via Structure VIA & M2 Simultaneous Etch 1. Drive trench down 2. Complete Via 3. Need to leave etch stop intact Pot. Low-k Damage 1. Via Structure 2. Trench 3. Etch stop integrity Cu Barrier Open and Via Cleans 1. Cu open 2. Clean to remove etch & ash residue Pot. Low-k Damage 1. Moisture uptake 2. Etch residue uptake 3. Cu oxidation 4. All exposed low-k 14 August 2003-10

Dual Damascene Dual Top Hard Mask Process Barrier Seed (pore sealing) 1. Ar sputter etch 2. ALD / CVD material Ta, TaN, others 3. Seal damaged pores Pot. Low-k Damage 1. Discontinuity 2. Sputter Etch Cu Plate 1. Fills Trench & Via simultaneously Pot. Issues 1. Fill uniformity 2. Key Holes CMP 1. Removes Cu & Hard Mask 2. Post CMP cleans Pot. Issues 1. Uniformity 2. Pressure damage low-k 3. Cu corrosion 14 August 2003-11

Integration Schemes for Dual Damascene Each scheme has its own integration issues and challenges. Self Aligned Via First Trench First Top Hardmask There are also many variations on each scheme. Issues Alignment Litho rework BARC fencing PR poison Litho rework Litho DOF BARC fill PR poison Litho rework High overall k eff Etch Resist selectivity Litho Overlay 14 August 2003-12

Simple So Far..Integration Issues Issues Etch by-products are deposited on exposed low-k films Aqueous processes can drive in contaminates and modify low-k structure Etch, ash, and cleans difficult to control pores, soft material CD / uniformity, process selectivity, etc. CMP processes put (shearing) forces on soft and weak low-k structures / materials Discontinuous barriers can allow Cu diffusion / failure Specific integration issues to each low-k 14 August 2003-13

Resist Poisoning Resist poisoning occurs when nitrogen containing chemistries or films can outgas (NH 3 ) into resist Use of N 2 /H 2 ash processes Exposure of SiCN barrier during Via First Etch Scheme Use of Nitrogen containing films in other areas of stack Resist-poisoning (caused by trapped amines/ NH 3 ) 14 August 2003-14

Low-k Voiding Copper SiN Low-k After ECD After ECD anneal 0.175/0.225µm 0.25/0.35 µm 0.45/0.50 µm Low-k voids occurs during post Cu plating anneal Wider low-k spacings exhibit less voiding 14 August 2003-15

Traditional microwave oxygen ashes O O Reaction products: CO, CO 2, H 2 O resist O Isotropic Anisotropic open porous low-k SiOC(H3) O Low-k damage: - C depletion -Si + H 2 O SiOH Isotropic ash processes remove also C from porous low-k film Makes low-k hydrophilic 14 August 2003-16

Assumed low-k voiding mechanism 1 F Fluorine captured in pores of low-k - Primary source is etch process - Ash releases fluorine from resist/ polymers - Incomplete removal in post ash wet clean 2 H +, H 2 O Low-k film becomes hydrophilic - Ash & etch chemistries can deplete Carbon - Promotes moisture uptake at sidewalls - cleans - Discontinuity in barrier/ seed layer Cu plate -HF formation 3 HF reaction with low-k, SiN x - Anneal starts HF reaction with low-k - Sub-micron pressure cooker - Mechanically induced stress from copper lines - SiCN not attacked 14 August 2003-17

Cu Diffusion / Depletion Cu diffuses out of structures Barrier discontinuities Moisture / diffusion gradients Electro-thermal stress Structure fails from Cu depletion Open Via due to Cu depletion TEM of Cu diffusion into low-k 14 August 2003-18

Dielectric Cracking CMP final straw Structural / compound changes to low-k during etch, ash, cleans Stresses from CMP wiggle structures 14 August 2003-19

Solutions Low-k voiding Implement a pore sealing process prevent contaminants & moisture from entering low-k Implement a cleans process w/ restoration remove contaminants & restore C(H3) Minimize aqueous treatments Novel plasma, strip and cleans No liner ECD Cu Low-k 30nm CVD SiN liner Dielectric Cracking Modulated by low-k damage & CMP force Restore low-k properties (cleans) Cu Diffusion / Depletion Advanced barriers Novel liners 14 August 2003-20

Conclusions Low-k are difficult materials to integrate Multiple integration approaches, all have pros & cons Specific issues to different low-k Density & porosity fluctuations by depth Organic materials behave like photo-resist Require advance stripping, cleaning, metalization & CMP Need to minimize or restore low-k damage Neutral beam plasmas Ion beams for stripping and pore sealing Restorative cleans processes scco 2 w/ HMDS Advanced barriers and metalization processes Pore sealers sacrificial or permanent 14 August 2003-21