Femtosecond laser pre-pulse technology for LPP EUV source

Similar documents
Conversion efficiency of 6.X nm Emitted from Nd:YAG and CO 2 Laser Produced Plasma

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

EUV Source Supplier Update, Gigaphoton

Laser Produced Plasma for Production EUV Lithography

Microsecond Pulsed Laser Material Ablation by Contacting Optical Fiber

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

Laser Speckle Reducer LSR-3000 Series

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

Shaped Femtosecond Laser Pulse Spectroscopy for Nuclear Forensics. Phyllis Ko ANS Student Conference 2011

FEL Irradiation Tolerance of Multilayer Optical System

Droplet-based EUV LPP Source for High Volume Metrology

Lasers and Laser Systems for Micro-machining

Laser Processing and Characterisation of 3D Diamond Detectors

NONTRADITIONAL MANUFACTURING PROCESSES

Micro- and Nano-Technology... for Optics

EUV optics lifetime Radiation damage, contamination, and oxidation

High-power DPP EUV source development toward HVM

Experiment title: Picosecond Crystallography I-III

New amorphous materials with applications in optics, optoelectronics, chemistry, medicine and biology prepared by pulsed laser deposition

Supporting On-line Materials

Feasibility Study on the Fast-Ignition Laser Fusion Reactor With a Dry Wall FALCON-D*

Design Windows and Roadmaps for Laser Fusion Reactors

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Materials surface damage and modification under high power plasma exposures in relevant inertial fusion reactor conditions

Supporting Information. Two-Photon Luminescence of Single Colloidal Gold NanoRods: Revealing the Origin of Plasmon Relaxation in Small Nanocrystals

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

Lehigh Preserve. Lehigh University. Miloslav Frumar University Pardubice. Summer

Study of the characteristic of droplet transfer in laser-mig hybrid welding based on the phase matching control of laser pulse and arc waveform

Table 1. Chemical and Structural Properties. About 1095 C g/cm 3 1.2W/m/K( c); 1.6W/m/K(//c)

PERIODIC STRUCTURES FORMATION ON BERYLLIUM, CARBON, TUNGSTEN MIXED FILMS BY TW LASER IRRADIATION

Modification of Glass by FS Laser for Optical/Memory Applications

Dynamics of Crystallization and Melting under Pressure

INVESTIGATION OF SUPERHEATED LIQUID CARBON DIOXIDE JETS FOR CUTTING APPLICATIONS

P. N. LEBEDEV PHYSICAL INSTITUTE OF THE RUSSIAN ACADEMY OF SCIENCES PREPRINT

3 Pulsed laser ablation and etching of fused silica

CHARGED PARTICLE PHYSICS BRANCH CODE 6750

Grazing-Incidence Metal Mirrors for Laser-IFE

Introduction to Picosecond Laser Tutorial. CMC Laboratories, Inc.

Electron Probe Micro-Analysis (EPMA)

Evaluation of Polymer Membrane Deformable Mirrors for High Peak Power Laser Machining Applications

Femtosecond micromachining in polymers

Vertical nano-composite heteroepitaxial thin films with manganites and ferroelectrics. Yonghang Pei Physics Department

(One) latest development(s)

In-situ laser-induced contamination monitoring using long-distance microscopy

Characterization and optimization of a flash-x-ray source for diagnostic of dense sprays

Characterization of laser-material interaction during laser cladding process P.-A. Vetter,* J. Fontaine,* T. Engel," L. Lagrange,& T.

>10 11 ohm-cm. ε T 11/ε0=6.7, ε T 33/ε0=8.1; Tan δ<0.001

High Power Operation of Cryogenic Yb:YAG. K. F. Wall, B. Pati, and P. F. Moulton Photonics West 2007 San Jose, CA January 23, 2007

CRYSTALAS. UV Optics System for Excimer Laser Based Crystallization of Thin Silicon Films

USN. Hosur : 6A/6B/6C 10ME665. Discuss briefly. 1 a.

The liquid-metal-jet anode provides unprecedented brightness. Oscar Hemberg

Thin Film Micro-Optics

Photostability of Hydroxocobalamin: Ultrafast Excited State Dynamics and Computational Studies

One Hundred Watt Operation Demonstration of HVM LPP-EUV Source

Robust Process Windows for Laser Induced Forward Transfer of Thin Film Metal to Create Interconnects.

Investigations on Melting and Welding of Glass by Ultra-short Laser Radiation

Dynamics of Laser Ablation for Thin Film Growth by Pulsed Laser DeDosition

STED microscopy with single light source. TeodoraŞcheul

What is SEM? TM-1000 Tabletop Microscope

Evaluation of length scale effects for micro and nano-sized cantilevered structures

Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal

SLS-process monitoring and temperature control

Nonlinear Optics at the U.S. Army Research Laboratory s Weapons and Materials Research Directorate

Experts in Femtosecond Laser Technology. DermaInspect. Non-invasive multiphoton tomography of human skin

ECE280: Nano-Plasmonics and Its Applications. Week5. Extraordinary Optical Transmission (EOT)

ACTIVE RAMAN MEDIA: SrWO 4 :Nd 3+, BaWO 4 :Nd 3+. GROWTH AND CHARACTERIZATION

Dr Jack Gabzdyl Product Line Manager Pulsed Lasers

1 st generation Laser-Produced Plasma 100W source system for HVM EUV lithography

PRESENT STATUS OF HIGH-POWER LPP-EUV SOURCE FOR HVM

LIBS Spectral Data for a Mixed Actinide Fuel Pellet ContainingUranium, Plutonium, Neptunium and Americium

Status Update 18MW ILC Beam Dump Design

Internal structure of nanoparticles of Al generated by laser ablation in liquid ethanol

SELECTION OF OPTICAL COMPONENTS FOR COMMON LASER TYPES

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Advantages of picosecond laser machining for cutting-edge technologies

Laser Processing on Graphite

STUDY & ANALYSIS OF ALUMINIUM FOIL AND ANATASE TITANIUM OXIDE (TiO2) USING TRANSMISSION ELECTRON MICROSCOPY

Verfahrens- und Systemtechnik zum präzisen Hochleistungsabtrag mit UKP-Lasern

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA

Building An Ultrafast Photon-Induced Near-field Transmission Electron Microscope

SNG High Performances Green Microchip Series

Microstructural Characterization of Materials

Influence of laser marking on stainless steel surface and corrosion resistance

Synthesis and Laser Processing of ZnO Nanocrystalline Thin Films. GPEC, UMR 6631 CNRS, Marseille, France.

Multiple film plane diagnostic for shocked lattice measurements invited

Debris transport analysis at the intermediate focus of an extreme ultraviolet light source. John Sporre David N. Ruzic

Debris transport analysis at the intermediate focus of an extreme ultraviolet light source

EROSION LASER TORCH IN THE LIGHT OF THE GATING RADIATION FROM AN AUXILIARY LASER

On dose related issues in XFELs vs. ERLs

ISTTOK as a liquid metal plasma facing component test device

System Level Overview of the Hypergolic Gelled Propellant Lab (GPL)

Performance and Properties of a High Power Light Source for EUV Lithography.

QUANTUM EFFICIENCY MEASUREMENTS OF Mg FILMS PRODUCED BY PULSED LASER ABLATION DEPOSITION FOR HIGH BRIGHTNESS ELECTRON SOURCES*

Fs- Using Ultrafast Lasers to Add New Functionality to Glass

Enhancement Surface Mechanical Properties of 2024 Al-Alloys Using Pulsed Nd:YAG Laser Cladding

Observation in the GB (Gentle Beam) Capabilities

Low Divergence atomic beam using laser ablation of thin film

Combining Measurement Methods The Benefit of Fast Analytical Instruments

Example SimphoSOFT simulation of Thulium-Ion-Doped Pulsed Amplification

Transcription:

Femtosecond laser pre-pulse technology for LPP EUV source A. Vinokhodov*, V. Krivtsun*, **, M.Krivokorytov*, Yu. Sidelnikov*, **, S.Chekalin**, V.Kompanets**, A. Melnikov**, K. Koshelev*, ** * EUV Labs, Troitsk, Moscow, Russia **ISAN, Troitsk, Moscow, Russia Slide 1

FS Laser Laser Generator Tsunami Spectra Physics Regenerative amplifier Spitfire Spectra Physics Autocorrelator Pulse Scout Spectra Physics Laser parameters Wavelength 800 nm Pulse energy 2.3 mj Duration(FWHM) 50 fs 80 ps Gaussian beam energy profile Minimum focal spot size at F=200 mm(fwhm) 50 um Average power density in focal spot 3*10 15 W/cm 2 PRR 1 khz Droplet Sn-In eutectic alloy (48%/52% atomic composition) Operating temperature 140 C Size 40, 50, 60, 70 um Velocity 2-4 m/s Interaction zone 1 cm from nozzle. Slide 2

Why we used In-Sn alloy Physical quantity In Sn, % Atomic number 49 50 2 Atomic weight 114.82 118.71 3.3 Density (near r.t.), g*cm 3 7.31 7.36 0.7 Liquid density (at melting point), g*cm 3 7.02 6.99 0.4 Molar heat capacity, J*mol -1 *K -1 26.74 27.11 1.1 Atomic radius, pm 142 139 2.1 Surface tension, din*cm -1 559 554 0.9 Melting point, O C 156.6 231.9 16 I 5.78 7.33 21 II 18.8 14.6 22 III 28.0 30.7 8.9 IV 58 46.5 19.8 V 77 81 3.7 Ionization potentials VI 98 103 4.9 VII 120 126 4.8 VIII 144 150 4 IX 178 176 1.1 X 204 213 4.2 Slide 3 Physical quantity Sn (300 C) In Sn alloy (200 C) Surface tension,din/cm 538 534 Kinematic viscosity, cst 0.24 0.25 Main physical properties of In, Sn and In-Sn alloy are very similar Replacement of Sn on In-Sn eutectic alloy allows to use much more reliable experiments because of lower work temperature. The alloy may be used as working substance in LPP EUV source. Conversion efficiency of LPP EUV source by using the alloy is about 70% from one by using pure Sn as working substances. In-Sn eutectic alloy can be used in modeling experiments for Sn droplet in pre-pulse technology of LPP EUV sources.

Synchronization diagram and DG+FS laser setup Multistage hit technology of laser beam into droplet Shadow stroboscopic image 1- FS laser, 2- DG, 3- vacuum chamber, 4- driving generator, 5- frequency divider, 6-delay generator, 7- DG controller, 8- diode laser (IL30C, 850nm, 30ns), 9- long distance microscope (K2 DistaMax), 10-CCD camera (Manta MG-145B), 11- Faraday cup, 12- ion spectrograph, 13- pump, 14- tungsten filament, 15- beam formation optics (diffuser+lens), 16- band pass filter (850nm). Slide 4 10 9 16 11 11 3 2 13 12 15 30ns 14 1 7 8 4 5 6

Droplet generator operation DoD type nozzle based on annular piezoelectric actuator (MJ-SF-002 MicroFab Tech) Shadow stroboscopic images 60um droplet 8 Hz, 10mm from nozzle 100 um Pulse train at 5kHz run, v 2.5 m/s Drive voltage pulse σ z 15 um σ r <5 um 300 um We have more than 6 controlled parameters of driving pulse! Observed area 4.7 x 3.5 mm, Spatial resolution 3.7 um Exposure time (laser pulse duration) 30 ns Slide 5

Sets of used experimental parameters Variable parameters : Droplets size Laser pulse durations Laser pulse energy Focal spot sizes Constant parameter: PRR of DG PRR of FS laser 8 Hz 1 khz 40 um, E las =2.3 mj 1. 50 fs, 50 um 2. 200 fs, 50 um 3. 400 fs, 50 um 4. 800 fs, 50 um 5. 1.5 ps, 50 um 50 um, E las =2.3 mj 50 fs, 50 um 400fs, 50 um Slide 6 60 um, E las =2.3 mj 1. 50 fs, 50 and 100 um 2. 100 fs, 50 and 100 um 3. 200 fs, 50 and 100 um 4. 450 fs, 50 and 100 um 5. 800 fs, 50 and 100 um 6. 1.5 ps, 50 and 100 um 7. 3 ps, 50 and 100 um 8. 5.3 ps, 50 and 100 um 9. 80 ps, 50 um 60 um, E las =1.3 mj 50 fs, 50 and 100 um 60 um, E las =0.4 mj 50 fs, 50 um 70 um, E las =2.3 mj 50 fs, 50 um Delay between FS and diagnostic lasers 0..20 us >160 images in each delay

Droplet deformation at τ las = 50 fs E las =2.3mJ 60 um t delay between FS laser and diagnostic diode laser Focal spot 50 um, I=3*10 15 W/cm 2 t = 2.0 us t = 3.0 us t = 4.0 us The images at different delays belong to different droplets, but deformed images are reproduced very well. Focal spot 100 um, I=7.5*10 14 W/cm 2 Main difference from ns PP: deformed droplets have shape of hollow thin 3D shells!! Slide 7 t = 3.0 us t = 4.0 us t = 7.0 us

E las =2.3mJ 60 um Droplet deformation at τ las = 100 fs Focal spot 50 um, I=1.5*10 15 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I=3.8*10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 8

E las =2.3mJ 60 um Droplet deformation at τ las = 200 fs Focal spot 50 um, I=7.5*10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I=1.8*10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 9

Droplet deformation at τ las = 450 fs E las =2.3mJ 60 um Focal spot 50 um, I=3.8*10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I= 10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 10

E las =2.3mJ 60 um Droplet deformation at τ las = 800 fs Focal spot 50 um, I=1.8*10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I= 0.45*10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 11

Droplet deformation at τ las = 1.5 ps E las =2.3mJ 60 um Focal spot 50 um, I=10 14 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I= 2.7*10 13 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 12

E las =2.3mJ 60 um Droplet deformation at τ las = 3 ps Focal spot 50 um, I=5*10 13 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I= 1.3*10 13 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 13

E las =2.3mJ 60 um Droplet deformation at τ las = 5.3 ps Focal spot 50 um, I=2.8*10 13 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Focal spot 100 um, I= 7.4*10 12 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us Slide 14

E las =2.3mJ 60 um Droplet deformation at τ las 80 ps Focal spot 50 um, I = 1.9*10 12 W/cm 2 t = 1.0 us t = 2.0 us t = 3.0 us t = 4.0 us t = 5.0 us t = 6.0 us t = 7.0 us Slide 15

V -Z V R Shell expansion velocity vs pulse duration Shadowgrams at different laser duration E las =2.3mJ, 60 um, t = 1.0 us V Z V Z 300 m/s V -Z 80 m/s V R 120 m/s 100 images averaging. V Z 100 fs 200 fs 400 fs V R V -Z 800 fs 1.5 ps 3 ps There is weak dependence of droplet expansion velocity on pulse duration at more than 1 ps. Dependence velocity on laser power density for ns lasers V Z I 2/3 is not working in this case! Slide 16

Variety of deformed shapes (some examples) 60 um Changing experimental parameters (size of droplet and focal spot, laser pulse duration, laser energy, delay) we can choose the optimal target shape for LPP EUV source from point of view max CE, min debris and high energy ions. All shapes have been stable from pulse to pulse Laser Mist E las =0.4 mj SS=50 um τ las =50 fs τ=10us conditional types Dome E las =2.3 mj SpotSize=50 um τ las =100 fs τ=3 us Nut E las =2.3 mj SS=50 um τ las =200 fs τ=1us Medusa E las =2.3 mj SS=100 um τ las =200 fs τ=4 us Blot E las =1.3 mj SS=100 um τ las =50 fs τ=10 us Heart E las =0.4 mj SS=50 um τ las =50 fs τ=4 us Slide 17

Ion flux measurements Slide 18

Total ion flux Oscilligrams of total ion current Droplet 70 um 40 um Focal spot 50 um FC-1 with amplifier (against laser beam) FC-2 without amplifier (along laser beam) Total ion charge vs pulse duration Taking into account FC-1 amplifier gain FC-1,2 solid angles Slide 19 Total charge of ions flying against laser beam for 70 um droplets is much more than one for 40 um droplet. Total charge of ions flying against laser beam is much more than ones flying in along laser beam. There is min total charge at 800 fs pulse duration which correlates with max of shell expansion velocities.

Distribution of total ion charge on ion energy FC-1 against laser beam Droplet 70 um FC-2 along laser beam High energy ions charge vs pulse duration High-energy ions fly only in the opposite to the propagation of the laser beam. Maximum number of the high-energy ions observed at 50 fs pulse. There is minimum of the high-energy ions at 800 fs pulse. Slide 20

Ion spectrum measurements Electrostatic ion energy analyzer based on a cylindrical capacitor +U a /2 Axis path for specific energy ions E ion = 1.25*Z*U, U deflection voltage, 1.25 - energy scaling factor Ion source -U a /2 Transimpedance amplifiers Grid 5mm aperture Faraday cup Detector Faraday cup Input aperture 1 mm Azimuth angle between laser beam and IS 30 Angle in vertical plane to the laser beam 17 Distance to the droplet 500 mm Slide 21

Oscillograms of ion beam current Droplet 50 um, focal spot size 50 um 64 measurements averaging. 32 measurements averaging. Ion multiplicity Z=1; 2; 3; 4; 5 Ion multiplicity Z=1; 2 τ laser = 50 fs, P=1.5*10 15 W/cm 2 U = 800 V τ laser = 400 fs, P=3.8*10 14 W/cm 2 U = 200 V Slide 22 Ion multiplicity for 50 fs pulse much more than for 400 fs pulse

Sample A (along laser beam ) Number of pulses 25 000 Electron microscope photos 60 um, 50 fs Mag 9KX Debris deposition 60 um, 50 fs Mag 1KX Minimal size of observed debris 90 nm 55 um, 5.3 ps Mag 1KX 1um 2um 2um Sample B (against laser beam ) 60 um, 50 fs 60 um, 50 fs Mag 9KX 60 um, 50 fs Mag 1KX 55 um, 5.3 ps Mag 1KX 1um 2um 2um Slide 23

Debris distribution For particles >250nm, step of particle sizes 100nm 1.Distribution for all modes and both s are close. 2. Mass of particles flying along laser beam are much more than ones flying against laser beam At isotropic scattering of debris masses would be: For 60um 6.6*10-8 g/sr For 55 um 5*10-8 g/sr For 40 um 1.9*10-8 g/sr Number and mass of debris from single droplet in 1 sr 60 um, 50 fs 40 um, 5.3 ps 55 um, 5.3 p s Sample A 16 300 (4.9*10-8 g/sr) 6 400 (2.6*10-8 g/sr) 2 600 (1.5*10-8 g/sr) Sample B 9 400 (10-8 g/sr) 2 400 (0.2*10-8 g/sr) 1 600 (0.37*10-8 g/sr) Slide 24 Where is difference? Vapor?

Summary In-Sn droplet deformation and fragmentation dynamic at the action of femto- and picosecond laser in wide band pulse duration from 50 fs up to 80 ps at power density up to P=3*10 15 W/cm 2 were studied by ultrafast shadowgraph method. Changing experimental parameters it is possible choose the optimal shape of target for LPP EUV source. Total ion charge and ion spectrum measurements at action of laser with femto- and picosecond pulse duration on In-Sn droplets were carried out. It is established that high-energy ions up to 8 kev are present only in the opposite to the laser beam. Debris distributions at interaction of femto- and picosecond laser with In- Sn droplets. It is established that particles flying along laser beam are much more than ones flying against laser beam. Slide 25

Acknowledgements We thank ASML company for technical support our experiments. Thank You for Your Attention Slide 26