iafor The International Academic Forum

Similar documents
TMAH texturisation and etching of interdigitated back-contact solar cells

Fabrication of the pyramidal microstructure on silicon substrate using KOH solution Yaqin Wang, Ruizhi Luo, Junjun Ma and Shi-Qing Man *

Thin film silicon substrate formation using electrochemical anodic etching method

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si

Near Sub-Wavelength Texturization Of Silicon Surfaces For Solar Cell Applications

Fabrication of regular silicon microstructures by photo-electrochemical etching of silicon

L5: Micromachining processes 1/7 01/22/02

Fused-Salt Electrodeposition of Thin-Layer Silicon

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Wet-chemistry Based Hydrogel Sensing Platform. for 2D Imaging of Pressure, Chemicals and

Black Silicon: Microfabrication Techniques and Characterization for Solar Cells Applications

Available online at ScienceDirect. Energy Procedia 44 (2014 )

IBM Research Report. Low-cost, High Efficiency Solar Cells on Scrapped CMOS Silicon

SnO 2 Thin Films Prepared by Sol Gel Method for Honeycomb Textured Silicon Solar Cells

Mikrosensorer. Microfabrication 1

Influence of the acid and alkaline texturization process on basic opto-electronic parameters of the silicon solar cells

Lecture 5: Micromachining

An optimization study on the anisotropic TMAH wet etching of silicon (100)

Post-CMP Cleaning: Interaction between Particles and Surfaces

Lab #2 Wafer Cleaning (RCA cleaning)

162 Solar Energy. front contact (metal grid) serial connections (to the back contact of the next cell) p-type wafer back contact

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

Light Trapping by Porous Silicon

Atomic Layer Deposition(ALD)

Ultrasensitive and Highly Stable Resistive Pressure Sensors with. Biomaterial-Incorporated Interfacial Layers for Wearable

Synthesis and Characterization of Iron-Oxide (Magnetite) Nanocrystals. Tan Wenyou. Engineering Science Programme, National University of Singapore

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Etching of silicon in alkaline solutions: a critical look at the 1 11 minimum

Electronic Supplemental Information

Lei Zhao, Chunlan Zhou, Hailing Li, Hongwei Diao, and Wenjing Wang

Experimental Study of Chemical Etching on EN8 & EN38

Pattern Dependent Satellite Defects in Via Lithography

Transition from Super-lithiophobicity to Super-lithiophilicity of Garnet Solid-State Electrolyte

Simple fabrication of highly ordered AAO nanotubes

Lab 1: Field Oxide. Overview. Starting Wafers

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder

Ceramic Processing Research

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

3: Overview of chemical texturisation methods

Figure 1: Increased small-size defects for wafers treated in DHF and dried with and without IPA.

Solar Cell Texturing: A Simplified Recipe. T. Vukosav, P. Herrera, and K. A. Reinhardt

Growth of Micro-Ikebana on a Floating Substrate: A Method to Monitor Local Supersaturation Levels

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

Impact of Si Surface Topography on the Glass Layer Resulting from Screen Printed Ag-Paste Solar Cell Contacts

Supporting Information. Fabrication of Flexible Transparent Electrode with Enhanced Conductivity from Hierarchical Metal Grids

Synthesis and Characterization of Zinc Iron Sulphide (ZnFeS) Of Varying Zinc Ion Concentration

Supplementary Material (ESI) for Chemical Communications This journal is (c) The Royal Society of Chemistry 2009

Supplementary Information

Semiconductor Technology

A COST-EFFECTIVE CHEMICAL APPROACH TO RETAINING AND REGENERATING THE STRENGTH OF THERMALLY RECYCLED GLASS FIBRE

Czochralski Crystal Growth

Facet-Selective Epitaxy of Compound Semiconductors on

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

FABRICATION OF SURFACE MICROMACHINED AlN PIEZOELECTRIC MICROSTRUCTURES AND ITS POTENTIAL APPLICATION TO RF RESONATORS

Investigation on the Impact of Metallic Surface Contaminations on Minority Carrier Lifetime of a-si:h Passivated Crystalline Silicon

Supplementary Materials for

Preparation and characterizations of new coatings based on PTFE-anodic films for the improvement of the tribological behavior of aluminum substrate

SHAPE EFFECT OF CERIA ON THE ACTIVITY OF Au/CeO 2 FOR PREFERENTIAL CO OXIDATION

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

Tin Coated Viral-Nanoforests as Sodium-Ion. Battery Anodes

Effect of Pickling Solution on the Surface Morphology of Ti-6Al-4V alloy Investment Cast K Mutombo 1, a and P Rossouw 2

Midterm evaluations. Nov. 9, J/3.155J 1

Chapter 3 Silicon Device Fabrication Technology

Presented at the 28th European PV Solar Energy Conference and Exhibition, 30 Sept October 2013, Paris, France

Fabrication of Capacitive Micromachined Ultrasonic Transducers Using a Boron Etch- Stop Method

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Research Article Silicon Nitride Film by Inline PECVD for Black Silicon Solar Cells

LASER ASSISTED HONEYCOMB-TEXTURING ON MULTICRYSTALLINE SILICON FOR INDUSTRIAL APPLICATIONS

STRESS CORROSION CRACKING OF STAINLESS STEELS IN HIGH PRESSURE ALKALINE ELECTROLYSERS

Supplementary Figure 1. Schematic for the growth of high-quality uniform

Growth of large single-crystalline two-dimensional boron. nitride hexagons on electropolished copper

Bulk Silicon Micromachining

Silicon Microparticle Ejection Using Mist-jet Technology

Preparation of Bi-Based Ternary Oxide Photoanodes, BiVO 4,

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

Fabrication Technology, Part I

Novel concept of rechargeable battery using iron oxide nanorods. anode and nickel hydroxide cathode in aqueous electrolyte

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Linlin Xin a and Xuefeng Liu b. School of Chemical and Material Engineering, Jiangnan University, Wuxi, , PR China.

Surface Micromachining

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Supporting Information

Carnegie Mellon MRSEC

Ibn Al-Haitham Jour. for Pure & Appl. Sci Vol. 32 (1) 2019

Supporting Information for Controlling Polymorphism in Pharmaceutical Compounds Using Solution Shearing

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Enhanced Light Trapping in Periodic Aluminum Nanorod Arrays as Cavity Resonator

Surface Micromachining

CHARACTERIZATION OF ANISOTROPIC ETCHING PROPERTIES OF SINGLE-CRYSTAL SILICON: EFFECTS OF KOH CONCENTRATION ON ETCHING PROFILES

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey

Manganese Oxide/Carbon Yolk-Shell Nanorod Anodes for High Capacity Lithium Batteries

Spray Drying Method for Large-Scale and High. Performance Silicon Negative Electrodes in Li-ion. Batteries

Transcription:

IPA Free Texturization Process for Monocrystalline Silicon Solar Cells by PTFE Mask Thipwan Fangsuwannarak, Suranaree University of Technology, Thailand The Asian Conference on Sustainability, Energy and the Environment 2015 Official Conference Proceedings Abstract Silicon surface texturization is required for high efficiency solar cells to reduce light reflectance. Anisotropic chemical etching utilizes a mixture of alkaline solutions and isopropyl alcohol (IPA) in typical pyramidal texture process. However, the volatile pollutant and the cost of IPA are important factors of overall texturization cost and environment reduction approach. In this paper, we investigate an alternative texture process by using a polytetrafluoro-ethylene (PTFE) grids-based texturization approach of monocrystalline silicon wafers with potassium hydroxide (KOH) is proposed. The suitable openings and separation between the close contact of the PTFE grids and silicon surface are used to capture hydrogen bubbles and breach them from the surface, which leads to form random pyramid structures in the silicon surface. Using an optimized conditions this etching process with free IPA provided a low weighted reflectance of 11.82% without any anti-reflectance coating. Keywords: Pyramid texturization, PTFE grid mask, free IPA, monocrystalline silicon, solar cells www.iafor.org iafor The International Academic Forum

Introduction Typical silicon wafer with polished surface normally loses the incident light above 30%. Silicon surface texturization is an important process for solar cell fabrication to effectively reduce the reflectance of light. Anisotropic chemical etching is very effective in monocrystalline silicon to form random pyramids on the (100) oriented silicon surface. Uniformity of pyramid textures will be obtained the light collection and able to trap the light inside the cells by internal reflection that leads to good energy conversion of solar cells. Alkaline texture etching is a very common in industrial production and a well-established method owing to great reduction of optical reflectivity of solar cells. Hydroxide by itself provides too fast etch rate in particular selective etching along (111) plane. Therefore, additives are typical required to reduce the etch rate. In typical pyramidal texture process, it can be seen anisotropic chemical etching utilizing a mixture of alkaline solutions such as potassium hydroxide (KOH), sodium hydroxide (NaOH) or lithium hydroxide (LiOH), and isopropyl alcohol (IPA) [1-3]. The silicate and hydrogen bubbles which are produced from KOH-Si reaction are allowed to accumulate at the interface between the silicon surface and solution that can inhibit pyramid nucleation. The hydrogen bubbles with 2-3 mm diameter sticking on the silicon surface seems to be pseudo mask to prevent etching on the surface during etching process. IPA as an additive surfactant in alkaline solutions can improve the surface tension and diffusion dynamic of the solution. It is required for the complete formation of pyramidal structure all over the silicon surface since IPA removes the hydrogen bubbles adhering on the silicon wafer. Its suitably high concentration and operating temperature can improve the wettability of the silicon surface. However, high IPA concentration results in the decrease of the etching rate of silicon [4]. It has low flash point (<12 C) and boiling point of 82.4 C which is close to the texturing process temperature. As a result, IPA evaporates easily due to its low volatility in the heated etching process. More consumption of IPA into the solution to compensate the amount lost is required for a proper wettability of the silicon surface. In the present, alkaline anisotrotic etching is an industrial etching technique but the cost and volatile pollutant of IPA are important factor of overall texturization cost and environment reduction approach. Due to high cost and easily volatility of IPA, there are many researches on low-cost silicon texturization focusing on the investigation in alternative solutions for surface texturing with reducing amount of IPA or new way with free IPA [5-6]. Several processing techniques to use the different chemical solutions were presented such as sodium phosphate (Na 3 PO 4 ), tetra methyl ammonium hydroxide (TMAH) and sodium carbonate (Na 2 CO 3 ) [7]. Nonetheless, the alternative solutions are quite more expensive than KOH. Recently, anisotropic chemical etching with free IPA was presented with using metal grids as an etch-mask that allows average reflectance of 15.1% and 10.7% for KOH and Na 2 CO 3, respectively [6,8]. Metal equipment seems to be incompatibility in alkaline etching process in the long term. On the other hand, super-hydrophobic material such as polytetrafluoro-ethylene (PTFE) is friendly with alkaline solution that has very low surface energy of 18.5 mj/cm 2. It is mostly possible to bring PTFE for an etch-mask. In this paper, the alternative texturization

method with free IPA by using an opening grids pattern of super-hydrophobic mask is investigate in KOH solution. Experimental Polytetrafluoro-ethylene (PTFE) grids with different circle openings was used as an etch-mask in this study for removing hydrogen bubbles on the (100) p-type Si surface during KOH etching process. In order to optimize the size and uniformity of the pyramid, 3 different diameters of grid opening with 0.5, 1 and 2 mm for texturing and separations of grid opening with 1 and 2 mm were tested. The gap between the PTFE grids and wafers is 1 mm. The PTFE openings are utilized for the confinement of the hydrogen bubbles during the etching process. Monocrystalline p-type silicon wafers, (100) oriented and size 200 mm 200 mm with resistivity 7-8 Ω cm were used for the texturization. The silicon wafers were etched in 5% hydrofluoric acid (HF) to remove native oxide and rinsed in deionized water before texturization. The etching was performed by placing the silicon wafers in the PTFE grid box and then the box was immersed in 3wt% KOH solution at 80 C for 50 min due to that this condition has been optimized in 3wt% KOH with IPA mixture. In this work, there is no surface-active additive added in the KOH solution. After the etching process, integrating sphere surface reflectance of the textured surface was measured to characterize the optical loss. An UV-NIR SPECORD spectrophotometer with hemisphere mode was used with light in the wavelength range from 300 nm to 1100 nm at near normal incident light. The weight reflectance (%WR) was determined normalizing the hemispherical reflectance spectrum by the AM1.5D spectrum. In addition, the surface morphology of the textured silicon wafers was examined by a surface scanning electron microscope (SEM). Results and discussion From the different batches, the pyramids formed are strongly dependent on the utilization of PTFE grids on the silicon wafers. It seems that it is not only the condition of the KOH etching processes but also the structure of the PTFE grids to obtain the pyramid structures with satisfactory optical performance. The PTFE grids box was placed on the silicon wafers and they were submerged into the KOH solution. During etching process continued, it could be seen some generated hydrogen bubbles continuously were captured within the openings and then they were bigger and broke finally. It can be noted the PTFE grid removed the hydrogen bubbles, which adhered on the wafer surface to inhibit the texturing process by this course. Therefore, there is no basic difference in the anisotropic etching mechanism between the proposed method and other texturization techniques. The influence of the diameter sizes of the grid openings and the separations on the reflectance of the silicon surfaces under optical wavelength is shown in Figure 1. The wafers were textured in 3wt% KOH solution at 80 C for 50 min. The values of the weighted reflectance were measured at the range of light wavelength from 300 nm to 1100 nm as detailed in Table 1. The reflectance of wafers textured in the same condition without PTFE mask was measured for comparison. The averaged reflectance was 27.55%WR for sample etched in free IPA solution with bare mask. Under this condition with using PTFE grids, it is found that %WR evidently reduced

compared to that textured without PTFE grids. When the wide separation at 2 mm and the separation at 0.5 mm were considered it can be observed that the measured reflectance decreases as the size of opening increases. It is possible due to ability of the bubble capture. On the other hand, when the separation is kept at 1 mm it is observed that the measured reflectance becomes weaker as the size of opening increases. The minimum reflectance was obtained at 11.82 %WR for using 1 mm separation and 0.5 mm sizes of openings that denoted as 1S_0.5D. It is due to that a perfect hydrogen bubbles removal process is obtained. It is also observed that a hydrogen layer is formed between the grids and the wafers in this situation. Therefore, based on the experimental results, it can be seen that 1 mm separation and 0.5 mm sizes of openings are the optimal condition in a novel approach of utilization of PTFE grid mask for texturing process. Figure 1 : Comparison of the reflectance curves of silicon wafers textured without PTFE grids, textured with different PTFE grid patterns. The gap between grids and wafers was 1mm. Texturization conditions: 3wt% KOH at 80 C for 50 min. Table 1. Comparison of the %weighted reflectance of the wafers etched in free IPA solution with the wafers placed in the different PTFE grids. Separation of opening (S) (mm) Size of Grid opening (D) (mm) 2 1 0.5 2 13.74% 14.40% 15.10% 1 13.76% 13.69% 11.82% 0.5-14.59% 15.67% No PTFE grids 21.55%

Figure 2 shows the SEM images of the surface morphology of the silicon wafers textured in 3 wt% KOH solution at 80 C for 50 min with stirring magnetic bar. Without using PTFE mask in the texturing process, the formation of random pyramids is poor on the silicon surface as seen in figure 2(a). SEM image in figure 2(b)-(d) depicts the pyramids formed intensely with using PTFE grid masks. During the whole etching process the hydrogen bubbles are continually captured by the metal grids and removed from the silicon surface. Using the 1 mm opening metal grids with a separation of 1 mm between wafers and grids, uniform pyramids are fabricated and an average reflectance of 10.7% is achieved. The pyramid structures of 2S_2O sample obtained mean reflectance of 13.74 %WR had the average base size between 3 µm and (a) (b) (c) (d)

Figure 2 : The SEM images of the wafers etched in 80 C, 3wt%KOH solution for 50min (a) with no PTFE grid comparing with using PTFE grid separations and openings of (b) 2 mm, 2 mm (c) 1 mm, 0.5 mm (d) 0.5 mm, 1 mm. 17 µm as shown in figure 2(b). Figure 2(c) shows the surface morphology of the silicon wafer etched in the solution using the PTFE grids with 1 mm separation and 0.5 mm openings. As can be observed from the figure, the formation of intensively large pyramid on the silicon surface was obtained. The weighted reflectance of 11.82% for 1S_0.5O sample is lowest based on the experimental results. It can be suggested that the completion of the etching process to use PTFE mask with 1 mm separation and 0.5 mm openings was obtained. Therefore, the surface coverage of the sample improved substantially. However the uniform pyramids on the silicon surface are very small as shown in figure 2(c), when the separation between the close contact of PTFE grids and wafer surface decreased from 2 to 0.5 mm. It is believed that the 0.5 mm separation was too small and hard to make bubbles breach easily in close contact with the wafer surface. The image of the small pyramid size relates with the increase of the reflectance. Summary This work has been investigated an alternative texturization method of monocrystalline silicon solar cells without adding any IPA based on PTFE grids as a super-hydrophobic material with 3%wt KOH solution. PTFE grids with appropriate openings and separation were used to capture the hydrogen bubbles and then remove them from the silicon surface. Using 0.5mm openings and 1mm separation between the grid and the wafer, our optimized process utilized 3%wt KOH solution at 80 C for 50 min with free IPA. Using this approach, reliable and uniform pyramid structures with a minimal value of average weighted reflectance of 11.82% were obtained. The proposed technique offers an alternative texturization that can reduce the cost and volatile pollutant of IPA in the etching process in photovoltaic fabrication.

References Verlinden P., Evrard O., Mazy E., Crahay A. (1992). Sol. Energy Mater. Sol. Cells 26 p.71-78. Vazsonyi E., De Clercq K., Einhaus R. (1999). Sol. Energy Mater. Sol. Cells 57 (2) p.179 188. Seidel H., Csepregi L., Heuberger A., Baumgӓrtel H. (1990) Journal of the Electrochemical Society 137 (11) p.3612 3626. Zubel I., Kramkowska M. (2001) Sensor Actuator A 93 p.138 147. Han K., Thamilselvan M., Kim K., Ju M., KukKim Y., Moon I., Lee K., Kyung D., Kwon T.,Yi J. (2009) Sol. Energy Mater. Sol. Cells 93 p.1042 1046. Chu A.K., Wang J.S,, Tsai Z.Y., Lee C.K. (2009) Sol. Energy Mater. Sol. Cells 93 p.1276 1280. Nishimoto Y., Namba K. (2000) Sol. Energy Mater. Sol. Cells 61 p.393 402. Li H., Liu W., Liu A., Qiao F., Hu Z., Liu Y. (2010) Sol. Energy Mater. Sol. Cells 94 p.942-945. Contact email: thipwan@g.sut.ac.th