MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS

Similar documents
Effect of impurity on Cu electromigration

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Overview of Dual Damascene Cu/Low-k Interconnect

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Copper Interconnect Technology

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

Electromigration behavior of 60 nm dual damascene Cu interconnects

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Integration Issues with Cu CMP

Materials Impact on Interconnects Process Technology and Reliability

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Alternatives to Aluminium Metallization

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Not For Distribution

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd

IC Fabrication Technology Part III Devices in Semiconductor Processes

Czochralski Crystal Growth

Complementary Metal Oxide Semiconductor (CMOS)

1.1 Background Cu Dual Damascene Process and Cu-CMP

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Notable Trends in CMP: Past, Present and Future

Development of different copper seed layers with respect to the copper electroplating process

The dependence of W-plug via EM performance on via size

EE 330 Lecture 12. Devices in Semiconductor Processes

Chemical Vapor Deposition

2006 UPDATE METROLOGY

Cu electroplating in advanced packaging

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Optimized CMP of ULK Dielectrics

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Exam 1 Friday Sept 22

Back End Processing (BEP) Needs Statement

Electromigration Improvement for Advanced Technology Nodes

Chapter 3 Silicon Device Fabrication Technology

Microtexture measurement of copper damascene line with EBSD

Predicting the Reliability of Zero-Level TSVs

Lecture 10. Metallization / Back-end technology (BEOL)

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

Effect of barrier layers on the texture and microstructure of Copper films

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Reliability Challenges for 3D Interconnects:

Microfabrication of Integrated Circuits

MICROCHIP MANUFACTURING by S. Wolf

Semiconductor Technology

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Damage mechanics of electromigration in microelectronics copper interconnects. Cemal Basaran* and Minghui Lin

Microanalysis of VLSI Interconnect Failure Modes under Short-Pulse Stress Conditions

Chapter 2 Manufacturing Process

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Problem 1 Lab Questions ( 20 points total)

Change in stoichiometry

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Complexity of IC Metallization. Early 21 st Century IC Technology

SKW Wafer Product List

From microelectronics down to nanotechnology.

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

Understanding and Reducing Copper Defects

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Lecture #18 Fabrication OUTLINE

Examples of dry etching and plasma deposition at Glasgow University

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

New Materials as an enabler for Advanced Chip Manufacturing

MOS Gate Dielectrics. Outline

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Recent advances on electromigration in very-large-scale-integration of interconnects

Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection

Nano-Packaging : Hype, Hope or Happening?

Electromigration Measurements in Thin-Film IPD and ewlb Interconnections

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

IN three-dimensional (3D) integration, two or more thin dies

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

The Effects of Pre-Existing Voids on Electromigration Lifetime Scaling

Transcription:

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS Paul S. Ho Microelectronics Research Center APS March Meeting 2003

Technology challenges for low k dielectrics Chemical bond and polarizability Impact of low k dielectrics on reliability of Cu interconnects Dielectric confinement effects Electromigration characteristics Summary

Effect of Scaling on Gate and Interconnect Delays Interconnect delay dominates IC speed Implementation of low k dielectrics reduces RC delay Power dissipation Crosstalk noise Number of metal level Mark Bohr, IEEE IEDM Proc. 1995

Cost and Manufacturability Issue Sematech 1998

Table 1: Technology Trends and the Need for Low-Dielectric Constant Materials Year 1995 1998 2001 2004 2007 Feature Size (µm) 0.35 0.25 0.18 0.13 0.10 Metal Levels 4-5 5 5-6 6-7 7-8 Device Frequency (MHz) 200 350 500 750 1,000 Interconnect Length (m/chip) 380 840 2,100 4,100 6,300 Capacitance (ff/mm) 0.17 0.19 0.21 0.24 0.27 Resistance (metal1)(ohm/µm) 0.15 0.19 0.29 0.82 1.34 Dielectric Constant (k) 4.0 2.9 2.3 <2 2-1 Based on the National Technology Roadmap for Semiconductors, 1994

Interconnect Technology Requirements for MPU Year of introduction Technology Node 1999 180nm 2000 2001 2002 130nm 2003 2004 2005 100nm MPU ½ pitch 230 210 180 160 145 130 115 Minimum metal effective resistivity 3.3 3.3 3.3 3.3 3.3 (µω-cm) Al wiring* Minimum metal effective resistivity 2.2 2.2 2.2 2.2 2.2 2.2 2.2 (µω-cm) Cu wiring* Barrier/cladding thickness 17 16 14 13 12 11 10 (conformal) (nm) Interlevel metal insulator- effective dielectric constant (κ) 3.5-4.0 3.5-4.0 2.7-3.5 2.7-3.5 2.2-2.7 2.2-2.7 1.6-2.2 Solutions Exist Solutions Being Pursued No Known Solutions International Technology Roadmap for Semiconductors, 1999

Interconnects Technology Requirements for MPU Year of introduction Technology Node 2001 130nm 2002 2003 2004 130nm 2005 2006 2007 65nm MPU ½ pitch 150 130 107 90 80 70 65 Minimum metal effective resistivity 3.3 3.3 (µω-cm) Al wiring* Minimum metal effective resistivity 2.2 2.2 2.2 2.2 2.2 2.2 2.2 (µω-cm) Cu wiring* Barrier/cladding thickness 16 14 12 (conformal) (nm) Interlevel metal insulator- effective dielectric constant (κ) 3.0-3.6 3.0-3.6 3.0-3.6 Solutions Exist Manufacturing Solutions known No Known Solutions International Technology Roadmap for Semiconductors, 2001

IBM CMOS9S0 Technology 9S BEOL Stack 8 Level Metal (4@1x, 2@2x, 2@4x) 4x pitch fatwire LM 0.13 µm 0.18 µm USG/FSG MDR shrink 0.25x 0.35x VQ Supply Voltage 1.2V 1.5V Gate Length (drawn) 0.125 µm 0.175 µm USG/FSG MQ 4x pitch fatwire M1 pitch 0.35 µm 0.49 µm nitride cap VK M2 pitch 0.40 µm 0.63 µm Mx pitch 0.45 µm 0.63 µm 2x pitch FW 0.90 µm 1.26 µm 4x pitch FW 1.80 µm NA nitride etch stop SiLK SiLK SiLK SiLK MK VL MJ VJ 2x pitch fatwire 2x pitch fatwire Metal Levels 8 7 ILD SiLK USG/FSG K eff 3.0 4.0 SiLK SiLK SiLK M4 V3 M3 V2 M2 V1 R. Goldblatt et al., IITC 2000 SiLK CA M1 MC

Intel 90nm Interconnect Technology 7 metal levels on 300mm wafer Low k CDO, Capacitance improved by 18% M. Bohr, Intel Developer Forum 9/2002

Contributions to Dielectric Constant ε = 1+ 4 πp/e Electronic polarizability optical frequency (10 14-15 S -1 ) Vibrational (atomic) IR (10 12-13 S -1 ) Rotational (orientation of permanent dipoles) microwave (10 9 S -1 )

Microscopic Origins of Polarization 3 Sources of Polarization - - + - + + - E + E Electronic (Induced) Atomic (Induced) Orientational (Permanent) Visible -UV Infrared µw - infrared K. Taylor, IRPS Tutorial 2000

Basic Approaches to Reduce Dielectric Constant Optimization of molecular structure Minimize configurational and dipole polarizability, e.g. use of C-C and C-F bonds Reduce density and incorporation of porosity Add uniform and microscopic pores with k of 1 Limitation: both approaches degrade the thermomechanical properties Proper tradeoff of dielectric constant and thermomechanical properties important

Electronic Polarizability vs. Strength of Chemical Bonds Bond Polarizability* (angstrom^3) Ave. Bond Energy# (Kcal/mole) C-C 0.531 83 C-F 0.555 116 C-O 0.584 84 C-H 0.652 99 O-H 0.706 102 C=O 1.020 176 C=C 1.643 146 C C 2.036 200 C N 2.239 213 * J. Am. Chem. Soc. 1990, 112, p.8533. # S. Pine, Organic Chemistry 5th ed.(1987).

Recent Low k Dielectric Materials Material Type Manufact. k SiLk Organic themoset Dow Chemical 2.65 FLARE 2.0 Poly aryl ether Allied Signal 2.8-2.9 Black Diam. Corel P SiLK Orion LKD 5109 XPX MSQ type CVD Porous spin on material Applied Mat. Novellus Dow Trikon JSR Asahi 2.7 2.0-2.3

Molecular Structure of SiLK (S.J. Martin et al. Adv. Mat. 12, 1769, 2000) Formation of polyphenylene polymer structure H. C. Silvis, Am. Chem. Soc., Boston, 2002

Crosslinked Silica-Based Materials Si-O network provides rigidity Organic groups lower k to 2.5-3.3 silsesquioxane RSiO 1.5 HSQ k = 2.9-3.0 MSQ k = 2.7-2.8 CH 3 HO Si O O HO Si O CH 3 CH 3 CH 3 CH 3 CH 3 CH 3 O Si Si O O O Si Si Si OH O O O O O Si O Si Si O Si Si O O OH CH 3 CH3 CH CH3 CH 3 3

Dielectric and Thermomechanical Properties of Low k Films Material k Young s Modulus (GPa) Lateral TEC 25-225 o C (ppm/ o C) Tg ( o C) TGA % weight loss (425 o C, 8 hrs.) PTFE 1.92 0.5 135 250 0.6 BPDA-PDA 3.12 8.3 3.8 360 0.4 crosslinked PAE 2.8-3.0 2.7 52 350 2.5 Fluorinated PAE 2.64 1.9 52 >400 x BCB 2.65 2.2 62-30 SiLK 2.65 2.3 54-2.1 Parylene-N 2.58 2.9 55-100+ 425 (melt) 30 Parylene-F 2.18 4.9 33-0.8 HSQ 2.8-3.0 7.1* 20.5 - x * Biaxial modulus x Not measured - None observed M. Kiene et al., Handbook of Si Semicond. Metrology, Marcel Dekker Inc. 2001

Integration of Cu Damascene Structure 1. Deposit Low κ 2. Deposit Cap (350-400 o C) Oxide Low k Nitride Thermal oxide Si 4. Deposit barrier (< 200 o C) 5. Deposit Cu seed (< 200 o C) 6. Electroplated Cu fills trench 7. Cu anneal (250-350 o C) Barrier Cu seed Cu 6000Å Al (0.5% Cu) Cu Oxide Cu Low k Cu Nitride Thermal oxide Si 3. Pattern and etch Low κ Oxide Low k Nitride Thermal oxide Si 8. CMP Cu 9. Deposit cap (350-400 o C) Nitride Oxide Cu Low k Cu Nitride Thermal oxide Si

EFFECT OF QUARTZ CONFINEMENT ON THERMAL STRESS OF AL LINE STRUCTURES ESHELBY S MODEL OF ELASTIC INCLUSIONS M. Korhonen et al., MRS Bulletin, 1992)

EM Damage Formation in Damascene Structure Damage formation due to flux divergence in dual-damascene interconnect. Grain Interface Pathways e - e - Extrusion Grain Boundary Pathway Possible regions of Flux Divergence Cathode Voiding: M2 Trench and Via Bottom Anode Hillock: Extrusion e - Voids E. T. Ogawa et al., 2001 IEEE Int. Reliability Physics Symposium Proc. 2001, pp. 341-349.

Blech effect and jl c threshold product The average drift of metal ions under EM is balanced by a back flow stress as: v d = v EM + v BF = µ (Z * eρj - Ω σ/l) v EM v BF There is a threshold or critical product jl c, when v d = 0, jl c = Ω σ/ Z * eρ σ σ changes the net drift rate, hence the EM lifetime. It depends on the dielectric confinement and (jl) c provides a measure for σ

Stress evolution in confined metal lines under EM No void formation No metal extrusion Applicable to AlCu M.A. Korhonen et al., JAP 73, 3790, 1993 S. Hau-Riege JAP 91, 2014, 2002 Stress generation in lines with clusters of blocking grains

Stress evolution in confined metal lines under EM M.A. Korhonen et al., JAP 73, 3790, 1993 S. Hau-Riege JAP 91, 2014, 2002 With void formation, local stress relaxation leads to a compressive stress state. Voids can form under a low tensile stress, the case is applicable to Cu. With mass transport dominated by interfacial diffusion, microstructure effect is reduced but σ max depends on interfacial adhesion

Effective Modulus for Interconnects under EM M.A. Korhonen et al., JAP 73, 3790, 1993; S. Hau-Riege, UC Berkeley Short Course 2002

Finite element analysis of effective modulus B SiN Pass ILD2 Cu2 Cu Line For Cu interconnects, ε 1 = ε 2 = 0 but ε 3 0 to account for mass transport via interfacial diffusion 3 Dimensional SiN Ta Via Modeling : 1 MA/cm 2 Test at 325 C ILD1 Cu1 ILD SiN Oxide Ta 3 -SiO 2 - Organic Polymer Si 1 2 - CVD MSQ - Porous MSQ

Effective Modulus of Cu Dual Damascene Interconnects 20 Effective Modulus, GPa 16 12 8 4 Cu2 Cu1 Reduced Effective Modulus on M2 0 Oxide Porous MSQ Organic Polymer CVD MSQ B: ε 1 = ε 2 = 0, only ε 3 0

Void formation in Cu interconnect Void can be nucleated at interface with a relatively low stress 1 Maximum void volume at steady state 2 V max = T σ L B + * JρeZ L 2ΩB 2 V max 1/B Void volume depends not only on j but also on σ T, thermal stress. If line failure by the same void volume, EM lifetime will be proportional to B under similar test conditions. But lifetime will be reduced if interfacial delaminates before reaching the steady state V max. 1. S. Hau-Riege JAP 91, 2014, 2002. 2. M.A. Korhonen et al., JAP 73, 3790, 1993

EM Cu/low k test structure Low-k dielectric materials are implemented in all levels Oxide etch stop layer is deposited on low-k material. The low k ILD and CMP etch stop layer introduce new interfaces in Cu/low k interconnects. M1 Cross-section of Cu/Oxide Interconnects M2 Oxide Ta Barrier Oxide Oxide Oxide Si Cross-section of Cu/Low-k Interconnects SiN x M2 Low-k Low-k M1 Low-k Oxide Si Oxide Etch Stop

EM Lifetime Characteristics The EM lifetime of Cu/low k interconnects is shorter than Cu/oxide. This can be attributed to the thermomechanical properties of low k ILDs. t 50 (hrs) 10 2 10 1 Cu/CVD Low k* Q = 0.86 ev Cu/Oxide j = 1.0 MA/cm 2 Q = 0.81 ev j = 1.0 MA/cm 2 0.5 µm LC Cu/Porous MSQ Q = 0.93 ev Cu/Org. Pol. j = 1.0 MA/cm 2 Q = 0.97 ev j = 1.0 MA/cm 2 17 18 19 20 21 22 1/KT Drift velocity for a confined structure: v d = v EM + v BF = µ (Z*eρj - Ω σ/l) ** Decrease in σ/l due to less confinement increases v d and reduces EM lifetime. ** I. A. Blech, JAP 47, 1203 (1976) Org. Pol. Por. MSQ CVD Low k Oxide Modulus (GPa) 2.5 3.6 6 71.4 B (GPa) 7.2 7.3 7.6 13.7 t 50 B

jl c for Cu Interconnects jlc (A/cm) 5000 4000 3000 2000 Cu/Oxide Cu/Porous MSQ Cu/CVD Low k Cu/Org. Polymer Porous MSQ Oxide jlc (A/cm) 5000 4000 3000 2000 3.0 MA/cm 2 Cu/Porous MSQ Cu/CVD Low k 0.5 MA/cm 2 1000 Org. polymer 1000 0 j = 1.0 MA/cm 2 for solid symbols 200 240 280 320 360 400 Temperature ( o C) 0 200 240 280 320 360 400 Temperature ( o C) Org. Pol. Por. MSQ CVD Low k Oxide Modulus (GPa) 2.5 3.6 6 71.4 B (GPa) 7.2 7.3 7.6 13.7 jl c σ B Temperature dependence of jl c was not observed. jl c values of organic polymer are below that estimated from B due to interfacial delamination.

EM Failure Analysis in Cu/Oxide Structure At the anode end, extrusion occurs through the SiNx cap layer due to EM induced Cu mass transport. (Anode Extrusion) After extrusion, back stress in the line will decrease to enhance void formation at the cathode. Cross-section of Anode A-A Void (strong mode) Nitride Anode (L = 300 µm) Anode Extrusion Extrusion Monitor Lines M2 A A Void (weak mode) Via M1 Void at Cathode (L = 200 µm) e - M1 Ta barrier Cathode

EM Failure in Cu/Low k Interconnect A hydrostatic compressive stress at anode breaks the interface of organic polymer and cap layer. Cu extrudes through interface between organic polymer and cap layer; failure due to interfacial delamination. Top down view of Anode extrusion Cross-section of Anode FIB cutting A A Anode A A A A SiN x Oxide Or. P. Cu Or. P. Ta Barrier Or. P. Cu Or. P. Interfacial adhesion can be an EM reliability issue (K.D. Lee, UT Austin, 2001)

Failure Analysis - Cu/Organic polymer A high compressive stress at anode caused interfacial delamination as well as anode extrusion. Cross-section of Cu/Org. P. Anode Extrusion Interfacial Delamination SiNx Cu 0.5 µm Extrusion Monitor Org. P. SiC SiO 2 Anode 180nm

Summary Low k dielectrics are required for development of Cu interconnects for 130 nm technology node and beyond. Thermal stress behavior indicates that barrier and cap layers are important in sustaining structural integrity of low k interconnects. Local stress concentration can lead to delamination and failure of structure. EM results show mechanical properties can significantly affect lifetime and distinct failure modes are observed due to interfacial delamination in low k structures. Implementation of surface coating to reduce the interfacial mass transport and enhance adhesion is important for reliability improvement for Cu/low k interconnects

Acknowledgement Low k material characterization M. Morgen (Lucent), M. Kiene (AMD), C. Hu (Intel), J. Zhao (Motorola), J. Liu Electromigration E. Ogawa (TI), K. D. Lee, X. Lu Thermal Stress Y. Du (AMD), S. H. Rhee (AMD), D. Gan, G. Wang Financial Support Semiconductor Research Corp (SRC, H. Hosack) International Sematech (J. Wetzel, J. Iacoponi) Texas Advanced Research and Technology Program

Summary Low k dielectrics are required for development of Cu interconnects for 130 nm technology node and beyond. Dielectric confinement is important in controlling EM reliability of Cu interconnects. The effect depends on material properties, interconnect geometry and structures. The activation energies of Cu/oxide and Cu/low k interconnects are in the range from 0.81 to 0.93 ev, indicating that interfacial diffusion dominates mass transport for Cu interconnects. There is a good correlation between the effective elastic modulus B and EM characteristics of Cu/lowk structures. Results is affected by damage formation due to interfacial delamination or metal extrusion.