Evaluation of a New Advanced Low-k Material

Similar documents
O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Depositing and Patterning a Robust and Dense Low-k Polymer by icvd

Stress corrosion of organosilicate glass films in aqueous environments: role of ph

CHALLENGES IN IMPLEMENTATION OF LOW-K DIELECTRICS IN ADVANCED ULSI INTERCONNECTS

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Chemical Vapor Deposition

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Supplementary Figure 1 TEM of external salt byproducts. TEM image of some salt byproducts precipitated out separately from the Si network, with

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Red luminescence from Si quantum dots embedded in SiO x films grown with controlled stoichiometry

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

Fabrication of sub-100nm thick Nanoporous silica thin films

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Overview of Dual Damascene Cu/Low-k Interconnect

Optical and Mechanical Properties of Toluene-TEOS Hybrid Plasma-Polymer Thin Films Deposited by Using PECVD

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

BLOCK COPOLYMERS ORGANIZATION AT INTERFACE

1 Low and Ultralow Dielectric Constant Films Prepared by Plasma-enhanced Chemical Vapor Deposition

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms. Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

Optimized CMP of ULK Dielectrics

Chapter 3 Silicon Device Fabrication Technology

Low-k Interlayer Dielectrics for 65 nm-node LSIs GPa Low-k Nano Clustering Silica NCS. Abstract

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

A Nano-thick SOI Fabrication Method

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

X-ray Photoelectron Spectroscopy

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Microstructure and Mechanical Properties of Surfactant Templated Nanoporous Silica Thin Films: Effect of Methylsilylation

Nanodiamond-Polymer Composite Fibers and Coatings

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Optical Constants of Ge and GeO 2 from Ellipsometry

NANOINDENTATION OF SILICON CARBIDE WAFER COATINGS

Dielectric Films for Advanced Microelectronics

ECE 541/ME 541 Microelectronic Fabrication Techniques

Examples of dry etching and plasma deposition at Glasgow University

Impurity free vacancy disordering of InGaAs quantum dots

Chapter 6. Delamination Phenomena

OPTICAL CHARACTERISTICS OF CARBON NITRIDE FILMS PREPARED BY HOLLOW CATHODE DISCHARGE *

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

POROUS THIN FILMS CHARACTERIZED BY SPECTROSCOPIC ELLIPSOMETRY

Deposition of C-F Thin Films by Sputtering and Their Micromechanical Properties

Spectroscopic Ellipsometry Characterization of Thin-Film Silicon Nitride

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Amorphous and Polycrystalline Thin-Film Transistors

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

Development of Low-resistivity TiN Films using Cat Radical Sources

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Oxide Growth. 1. Introduction

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers

PULSED LASER DEPOSITION OF DIAMOND-LIKE AMORPHOUS CARBON FILMS FROM DIFFERENT CARBON TARGETS

Evaluation of Failure in Low-k Films Using Stiffness Mapping and Dynamic Imaging

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Materials Characterization

Materials Science and Engineering: An Introduction

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications

Preparation of Large-area, Crack-free Polysilazane-based Photonic. Crystals

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Hydrogenated Amorphous Silicon Nitride Thin Film as ARC for Solar Cell Applications

Self-aligned via and trench for metal contact in III-V semiconductor devices

Modeling of Local Oxidation Processes

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

IR spectra of ICPCVD SiNx thin films for MEMS structures

EUV optics lifetime Radiation damage, contamination, and oxidation

EECS130 Integrated Circuit Devices

Low temperature deposition of thin passivation layers by plasma ALD

High Performance Optical Waveguides based on Boron and Phosphorous doped Silicon Oxynitride

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Supplementary Figure 1 XPS spectra of the Sb 2 Te 3 ChaM dried at room temperature near (a) Sb region and (b) Te region. Sb 3d 3/2 and Sb 3d 5/2

Atomic and electrical characterisation of amorphous silicon passivation layers

Merle D. Yoder, Jr. 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

Nanoindentation of Silicate Low-K Dielectric Thin Films

Crystalline Silicon Technologies

SnO 2 Thin Films Prepared by Sol Gel Method for Honeycomb Textured Silicon Solar Cells

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

Published in: Proceedings of the 20th Annual Symposium of the IEEE Photonics Benelux Chapter, November 2015, Brussels, Belgium

Low Thermal Budget NiSi Films on SiGe Alloys

Physical Vapor Deposition (PVD) Zheng Yang

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity

PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC. Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Optimization of Water based Optical Filter for Concentrated Crystalline Si PV/T System - A Theoretical Approach

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

ELECTRICAL PROPERTIES OF CDS THIN FILMS SPIN COATED ON CONDUCTIVE GLASS SUBSTRATES

Transcription:

Evaluation of a New Advanced Low-k Material E. A. Smirnov 1, Kris Vanstreels, Patrick Verdonck, Ivan Ciofi, Denis Shamiryan, and Mikhail R. Baklanov, IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium, E-mail: smirnov@imec.be 1 also at Moscow Institute of Electronic Technology, Moscow, Russia Mark Phillips SBA Materials, Inc, Albuquerque, NM, USA, E-mail: markphillips@sbamaterials.com Abstract New advanced low dielectric constant films (Spin-on 2.0) with k=2.0 were prepared by using a self-assembling technology and deposited by the spin-on method. The open porosity of the films was equal to 40% and they exhibit good mechanical properties (Young s modulus for the pristine sample, thermally cured, is 4.77 GPa and hardness is 0.54 GPa). The principal advantage of these films is the absence of sp² carbon that is typically formed in porogen based PECVD films and causes high leakage current. The change of the film s properties after UV assisted thermal curing at T=430 C with lamps having different wavelengths (narrowband with =172 nm and broadband lamp with > 200 nm) was studied. Electrical measurements show a small increase of the k-value, however FTIR spectroscopy shows no bulk and surface hydrophilization after the curing. Observed decreases in thickness and porosity indicate densification of the matrix. 1. Introduction The latest generations of Ultra-Large Scale Integration (ULSI) Circuits needs Cu/low-k technology to reduce the capacitance delays between the metal wires in ULSI interconnects. 1) Various materials and methods have been developed for deposition of low-k films during the last 10-15 years but the plasma enhanced chemical vapor deposition (PECVD) technology of porous organo-silicate glasses (OSG) is the most

popular at the present. The reason is the good compatibility of PECVD technology with existing ULSI technology and possibility to deposit relatively good quality films with dielectric constant in the range of 3.5-2.5. However, the requirements are constantly becoming more stringent and more advanced materials are needed to implement the next interconnection node. These materials should have lower k-values (less than 2.3), remain hydrophobic to prevent water adsorption and have good mechanical properties to withstand CMP and packaging. Today, PECVD materials are not able to satisfy all the integration requirements due to the uncontrollable process of pore formation and to the porogen residue formed during the non-optimized UV curing. 2) This residue often contains sp²-hybridized carbon that increases the leakage current and decreases the breakdown voltage by forming energy levels in the oxide band gap. 3) In order to overcome the problems with PECVD porogen residues, spin-on materials with self-assembled porous structures have been investigated. The porous structures of these materials are formed without the use of sacrificial porogens and there is no risk of residue formation during the UV curing. Therefore, it is important to find a good balance between improvement of mechanical properties and increase of k-value during UV curing. In this work, we studied a novel Spin on 2.0 low-k material from one of the latest generations of ULK materials based on a self-assembling approach. This material has no brittle fracture in comparison to CVD materials. The advantages of this material are sufficient mechanical properties, capability to remain hydrophobic even after partial removal of the CH 3 groups, and absence of porogen residue. II.Experimental The material has been prepared using a self-assembled technology to form nanocomposite structures with controlled structure and physical properties engineered at the

nanometer scale. These materials have a composition similar to hybrid PECVD materials with k-value 2.3-2.5. The spin-on low-k film is formed by condensing a hydrolyzed alkylated silica sol in the presence of a polymeric surfactant. This surfactant acts as a template to produce a regular porous structure as the film dries. Upon anneal the surfactant acts as a porogen and evaporates, leaving behind a porous silica network with alkyl groups passivating the internal and external surfaces of the film. In this work we studied the pristine (thermally cured) material properties and their modifications after UV curing at 430 o C. To study the curing dependence on the wavelength, we used two different lamps: one with 172±15 nm near-monochromatic light (lamp A) another broadband one with wavelength range above 200 nm (lamp B). The different sources were used to study and find optimal conditions allowing cross-linked skeleton formation and avoid degradation after exposure. The thickness, porosity, pore size and refractive index of low-k films deposited on 300 mm Si wafers were measured by spectroscopic ellipsometry SE 801 (350-820 nm) and ellipsometric porosimetry EP-10. 4) Mechanical properties, Young s modulus and hardness of the films were measured using a Nanoindenter XP system (MTS Systems Corporation) with a dynamic contact module and a continuous stiffness measurement option under the constant strain rate condition. A standard three-sided pyramid diamond indenter tip (Berkovich) was used for the indentation experiments. As the indenter tip is pressed into each sample, both depth of penetration h and the applied load P are monitored. In order to exclude a potential error in YM values, different film thicknesses were investigated. 5) The chemical structure of the films was characterized by UV ellipsometry and FTIR spectroscopy in N 2 atmosphere (Nicolet 6400). UV ellipsometry operated in the spectral range of 150 to 895 nm at an incidence angle of 70º using Aleris SE from KLA-Tencor. The results were fitted by a single layer optical model using the Marquardt Levenberg algorithm. 2) The capacitance measurements for k-value extraction were performed by using Metal-Insulator-Semiconductor (MIS) planar capacitors as a test vehicle (Fig. 1). The blanket wafers were diced in samples of 45 mm x 45 mm and

metal dots were deposited through a shadow mask by e-beam evaporation. Finally, the samples were provided with an ohmic back contact by applying Ga-In alloy paste on the scratched backside, following the practical method described by Ciofi et al. 6) The capacitance-voltage (CV) measurements were performed by means of an impedance analyzer HP4284A precision LCR meter, in the frequency range from 10 khz to 1 MHz. 7) Figure 1. Schematic cross-section of the fabricated MIS capacitors. III. Results and discussion In contrast to PECVD low-k materials, the pores in the studied low-k films were created without sacrificial porogen molecules by using self-assembled technology to form engineered nano-composite structures and have the open porosity of 40% and pore radius of 2.06 nm (Fig. 2 a, Fig. 2 b). Therefore, it was expected that these films do not contain any porogen residues. It has already been established that the porogen residues in PECVD films produce several peaks in the region from 3 to 7 ev in the dispersion of the optical extinction coefficient, as measured by UV ellipsometry. 2) When compared with PECVD low-k films, the new material exhibits almost no extinction in that region, as can be seen from Figure 3. One can conclude that the studied ULK material is almost free of porogen residues. Upon UV assisted thermal curing of the Spin-on low-k film, its Young s modulus strongly increased from the pristine value 4.77 ± 0.39 GPa to 9.2 ± 0.69 GPa with

increasing of curing time by lamp A. In case of lamp B the mechanical properties (YM) were increased not so strongly from 4.77 ± 0.39 GPa to 5.78 ± 0.33 GPa (Fig. 4). UV curing is also accompanied by shrinkage (Table 1). (a) (b) Figure 2. Adsorption and Desorption isotherm of Spin-on 2.0 (a). Pore size distribution in the material (b). Figure 3. UV spectra of typical porogen based CVD 2.3 and porogen-free Spin-on 2.0 materials.

Figure 4. Young s modulus changes after UV curing by the lamp A versus lamp B with values of hydrophobosity parameters. Mechanical properties are strongly improved in the course of curing time by the lamp A and to much lower extent with the lamp B. The degree of shrinkage was equal to 8% after 500 s in lamp A and only 3% after lamp B. UV curing also leads to partial hydrophilization of the low-k surface. The water contact angle has decreased from 80 o to 67 o after curing by lamp A; a much smaller reduction was observed after curing by lamp B (from 80 o to 74 o ). Table I. The results of k-value extraction. Sample Thickness (nm) K-value Water contact Angle ( ) Pristine 200 2.15±0.1 80 500 sec Narrowband 184 2.57±0.1 67 500 sec Broadband 194 2.17±0.1 74 Table 1 shows a significant increase of k-value, from 2.15±0.1 to 2.57±0.1 after lamp A exposure and to 2.17±0.1 after lamp B exposure. There are at least two possible reasons for the increase of mechanical properties and the k-value upon UV curing. First, the material densification is clearly seen from the Table 1 and there also partial

hydrophilization due to the UV assisted destruction/modification of the top part of low-k film may enable water adsorption. Figure 5 shows FTIR spectra of Spin-on 2.0 films before and after UV curing. The FTIR spectra are typical of SiOCH-type materials and contain an absorption band related to the Si O Si network at 1040 1070 cm 1, a shoulder of a cage like structure 1100 1150 cm 1, a suboxide absorption band 1000 1030 cm 1, and an absorption band related to Si CH 3 bonds 1250 1300 cm 1. Almost no change of spectra was observed after broadband lamp B. Meanwhile the change of FTIR spectra is more significant after narrowband lamp A. A clear shift to higher wave numbers of the Si O Si absorption band is observed. The amount of methyl bonds was decreased with a simultaneous shift to higher wave numbers and a reduction of the band/peak responsible for the presence of the cage like structures is observed (Fig. 5). These changes clearly suggest that UV curing by the lamp A reduce the concentration of CH 3 bonds by scission of Si-CH 3 bonds and forms a more SiO 2 -like structure. These observations are in agreement with the results of the work published by L. Prager where the possibility of breaking of Si-CH 3 bonds by UV radiation with wave lengths shorter that 200 nm was demonstrated using quantum-chemical calculations. 8) However, it is interesting that no water peak was found in the structure. It means the bulk of the material remains hydrophobic. UV curing also leads to structural changes. The second hypothesis seems to be correct, since the ellipsometric measurements showed that the thickness reduction from 202 nm to 184 nm after lamp A curing and from 202 nm to 194 nm in case of lamp B curing. In addition to the thickness reduction (Table 1), the pore size distribution shifted towards smaller pores, as can be seen from Figure 6. The mean pore radius decreased from 2.06 nm to 1.72 nm after curing with lamp A and showed a minor decrease (comparable with the EP tool resolution) after lamp B. In order to find the reason of the thickness reduction and increasing of k-value we used a theoretical model to calculate possible k-value deterioration. We used change of refractive indices and Lorentz-Lorentz equation to

estimate change of the film density and possible impact of this change on dielectric constant using Clausius-Mossotti equation 1). (a) (b) (c) (d) Figure 5. FTIR spectra of pristine and UV cured samples with the lamp A (a) and lamp (b) by different time treatments (100 sec, 250 sec and 500 sec) and the zoom area of Si- CH 3 peak (c,d).

(a) (b) Figure 6. Pore radius distribution in pristine and UV cured low-k material with the lamp A by 500 sec (Fig. 6 a.) and with lamp B (Fig. 6 b.). The pore radius decreases from 2.06 nm to 1.72 nm (Fig. 6 a.) after lamp A. Almost no changes after UV cure by lamp B (Fig. 6 b.) Very small change of refractive index and corresponding densification of the samples cured by lamp B correlates with the k-value measured by MIS structure. However the k- value of the samples cured with lamp A shows that the measured k-value is defined not only by densification but also affected by the water adsorbed on low-k surface. This also correlates with WCA results (Table 1). When compared with other low-k materials that we characterized earlier, the present spin on material exhibits satisfactory physical properties. Figure 7 illustrates the four most important properties modern low-k materials have to possess in order to be successfully integrated into modern interconnects: dielectric constant, Young s modulus, porosity and pore radius. One can see that the studied low-k dielectric falls into the desired zones; moreover, UV curing with lamp B (broadband > 200 nm) improves Young's modulus while limiting increase in the k value. In contrast, curing with lamp A puts the low-k

material outside the desired zone; the increase in k negates/more than offsets the positive effect of the shorter wavelength on mechanical robustness. (a) (b) (c) Figure 7. Comparison of the four most important properties of the available low-k. The grey area shows a requirements of new low-k materials with k-value less than 2.3 for the next interconnection node (a) and (b) with possible Young s modulus above 5 GPa (c). IV. Conclusions A new spin on 2.0 low-k material is studied. It has the pristine, thermally cured k-value of 2.15 with pore radius of 2.06 nm and porosity of 40%. The Young s Modulus and hardness are equal to 4.77 GPa and 0.54 GPa, respectively. It is shown that using the selfassembled technology of pore formation, the material is able to have low k-value with good mechanical characteristics. Another advantage of this material is the absence of porogen residue (in the form of sp 2 carbon). UV curing changed the properties of the material. We demonstrated that the mechanical properties are improved (Young s Modulus increased from 4.77 GPa to 9.2 GPa with lamp A and to 5.78 GPa with lamp B, and hardness increased from 0.54 GPa to 0.80 GPa with lamp A and to 0.62 with lamp B by 500 seconds treatment) while k-value has increased. The increase of k-value is mainly

caused by densification of the matrix in the case of broadband lamp with l>200 nm. The changes introduced by lamp A are more pronounced than those introduced by lamp B due to higher energy of the former. The increase of the k-value, introduced by the narrowband UV curing lamp (A) is unacceptably high because it affected not only by densification but also by water adsorbed on the top surface. However, since the UV curing does not introduce bulk damage (hydrophilization), it should be possible to find a good balance between improvement of the mechanical properties and deterioration of the k-value by optimizing the curing conditions. References 1) K. Maex, M.R. Baklanov, D. Shamiryan, F. Iacopi, S. Brongersma, Z. Sh.Yanovitskaya, J. Appl. Phys. 93 (11), 8793 (2003). 2) P. Marsik, P. Verdonck, D. de Roest, M. R. Baklanov. Thin Solid Films. 518 (15), 4266 (2010). 3) V. V. Afanas ev, A. Stesmans, M. O. Andersson. Phys.Rev. B 54, 10820 (1996) 4) M. R. Baklanov, K. P. Mogilnikov, V. G. Polovinkin, and F. N. Dultsev, J. Vac. Sci.Technol. B, 18, 1385 (2000). 5) K. Vanstreels and A. M. Urbanowicz, J. Vac. Sci. Technol. B 28, 173 (2010). 6) I. Ciofi, M. R. Baklanov, Zs. Tokei, G. P. Beyer. Microelectronic Eng. 87 (2010) 2391 (2010). 7) Hewlett Packard, Model 4284A Operation Manual, 2000. 8) L. Prager, P. Marsik, L. Wennrich, M. R. Baklanov, S. Naumov, L. Pistol, D. Schneider, J. W. Gerlach, P. Verdonck, M. R. Buchmeiser. Microelectronic Eng. 85, 2094 (2008) Acknowledgement Spin-on low-k materials provided by SBA Materials, Inc