Semiconductor IC Packaging Technology Challenges: The Next Five Years

Similar documents
5. Packaging Technologies Trends

Thermal Management in Today's Electronic Systems

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Alternative Approaches to 3-Dimensional Packaging and Interconnection

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Increasing challenges for size and cost reduction,

!"#$"%&'()#*+,+-&.) )/01)"+.)101) )234)5"#$"%6+%)"7)"889) )!"#$"%6+%):&;-6:&<&+7') )=45&')*>)'6+%8&)#365)5"#$"%&')

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

S/C Packaging Assembly Challenges Using Organic Substrate Technology

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

Nanium Overview. Company Presentation

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Chips Face-up Panelization Approach For Fan-out Packaging

The Development of a Novel Stacked Package: Package in Package

Panel Discussion: Advanced Packaging

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

Failure Modes in Wire bonded and Flip Chip Packages

ECE414/514 Electronics Packaging Spring 2012 Lecture 2. Lecture Objectives

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

Factors Influencing Semiconductor Package Migration

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Worldwide IC Package Forecast (Executive Summary) Executive Summary

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China

Semiconductor Packaging and Assembly 2002 Review and Outlook

Chapter 3 Silicon Device Fabrication Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

Narrowing the Gap between Packaging and System

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

Next Gen Packaging & Integration Panel

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

Assembly Reliability of TSOP/DFN PoP Stack Package

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Material based challenge and study of 2.1, 2.5 and 3D integration

Development of System in Package

Chapter 14. Designing with FineLine BGA Packages

Credit Suisse Technology Conference

Bridging Supply Chain Gap for Exempt High-Reliability OEM s

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

High Density PoP (Package-on-Package) and Package Stacking Development

Adaption to scientific and technical progress under Directive 2002/95/EC

Enabling Technology in Thin Wafer Dicing

FOR SEMICONDUCTORS 2007 EDITION

Challenges for Embedded Device Technologies for Package Level Integration

Adaption to scientific and technical progress under Directive 2002/95/EC

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

The Shift to Copper Wire Bonding

Asia/Pacific Semiconductor Packaging and Assembly Facilities, 2002 (Executive Summary) Executive Summary

Motorola MPA1016FN FPGA

3D-WLCSP Package Technology: Processing and Reliability Characterization

Thales vision & needs in advanced packaging for high end applications

Design for Flip-Chip and Chip-Size Package Technology

Lehman Brothers Global Technology Conference. December 2007

Innovative Substrate Technologies in the Era of IoTs

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Microelectronics Packaging. Microsystems Packaging

Fan-Out Packaging Technologies and Markets Jérôme Azémar

1 Thin-film applications to microelectronic technology

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Henkel Enabling Materials for Semiconductor and Sensor Assembly. TechLOUNGE, 14 November 2017

Our customers' product lifecycle & Amkor Test Services Development Introduction Growth Maturity Decline

Technology Drivers for Plasma Prior to Wire Bonding

Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3)

Hot Chips: Stacking Tutorial

Recent Advances in Die Attach Film

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Technology Disrupts; Supply Chains Manage. Outline. Dr. Herbert J. Neuhaus TechLead Corporation

Chip Packaging for Wearables Choosing the Lowest Cost Package

PoP/CSP Warpage Evaluation and Viscoelastic Modeling

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Green IC packaging: A threath to electronics reliability

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages

Thin Wafers Bonding & Processing

New Technology for High-Density LSI Mounting in Consumer Products

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

3DIC Integration with TSV Current Progress and Future Outlook

Copper Wire Packaging Reliability for Automotive and High Voltage

RF System in Packages using Integrated Passive Devices

Future Electronic Devices Technology in Cosmic Space and Lead-free Solder Joint Reliability

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Transcription:

SPAY025 May 2006 White Paper Mario A. Bolanos, Director Semiconductor Group Packaging Technology Development, Texas Instruments In the era of communications and entertainment, growth of consumer electronics is exploding. Consumer demand for increased mobility, wireless connectivity and advanced features have paved the way for a variety of new products, including advanced mobile handsets, PDAs, digital still cameras and camcorders, portable music players and many others. The silicon solutions driving these products are more highly integrated than ever before, as advancements in process technology are delivering system-on-a-chip (SoC) solutions that are smaller, faster and lower cost. Users of portable products also want longer battery life, and a heightened emphasis on low power is key. These trends, along with the broad range of end equipments emerging, require a large diversity of new IC package types to meet specific applications or markets. Increased device complexity will generate an explosion of new creative and disruptive technology packaging solutions, and in some markets and applications, packaging technology will become a key differentiator when making purchasing decisions. POWER PERFORMANCE COST SIZE COST POWER Packaging Technology Trend What is the Trend? Consumer Electronics is - Smaller form factor packages driving > 50% of the growth - Higher integration and functionality: in the electronics market SIP + SOC - Vdd ~1V IR drop issues P-DIP - High Pin Count - High Performance PLCC Packages and Low Cost QFP - Multi core microprocessors - MCM - Thermal challenges increasing Integration drives cost reduction TQFP Scaling - die/wafer : smaller, cheaper, faster - More wafer level packaging and wafer PBGA (2x/area. 40% die, 2x/4 yr) level processing System on Chip : digital, analog, RF u*bga System in Package : logic and memory integration, QFN miniaturization nfbga Power is a key technology hurdle and SOC+SIP product cost limiter Optical I/O HiCTE FC-CSP, WLP, SiP, PoP, Module, Multi-Core MCM Molded FC-BGA What is next? - Ultra miniaturization FCBGA - Package on Package - Modularization Thermally - Package scaling to match Si Enhanced - Nano-structures applied to Pkg. BGA - 3D IC Interconnect - Optical I/O CPGA - Hetero-integration 1/25/2006 To that end, there are a number of general packaging technology challenges the industry must address in the next five years. Addressing the below issues will take the packaging technology roadmap to a new level, including migration toward ultra miniaturization; growth of package on package; modularization; package scaling to match silicon scaling; nanostructures; 3D IC interconnect; optical input/interconnect and heterogeneous integration.

Packaging Advanced CMOS Technology with Copper and Ultra Low K Dielectrics The biggest challenge impacting packaging in the next five years is the industry s ability to develop solutions packaging both advanced CMOS with copper and ultra-low k dielectrics. The fast adoption and high volume ramp of advanced CMOS silicon with copper interconnect and low k dielectrics have had a major impact on packaging. Packaging must move toward compliant chip-to-chip substrate interconnects and low stress packaging solutions, along with mechanical reinforcements under pads. The ability to perform better characterization is essential, along with development of interconnect ILD materials to address interfacial adhesion and improve fracture toughness. Thermal connectivity with strained silicon is potentially 4 to 5X worse than bulk silicon. The industry needs to better understand this issue and address potential problems. Developing wafer thinning and dicing solutions for wafers with copper and ultra-low k dielectrics will also be required. Scaling for More Die Per Wafer and New Interconnect Technology Disruptive packaging solutions will be necessary to continue to scale down size and area for product input/output, and pad ring in CMOS nodes beyond the 45-nm process. As metal systems continue to thin, IR drop and EM issues will grow and new interconnect alternatives will be considered. Techniques such as stud bumping, dual bonding on same pad, thinner aluminum wire, copper wire, Bond on PO, copper over anything and bond wire jumpers are potential solutions. In addition, ultra fine pitch and compliant interconnect solutions such as micro bumps, coated-wire bonding process, fine pitch less than 50 um wire bonding and Au stud bumping to thinned dies will also be needed in this time frame. Some may consider whether the industry will see the end of solder bump for ultra fine flip chip interconnect applications. Other technologies that will be explored are room temperature Cu-Cu interconnection and fine pitch pad alignment; 3D IC Interconnect; wafer-to-wafer; die-towafer, through silicon vias; and Cu nails. SoC and SiP Integration and Functionality, Automated System Level Co-Design Tools Product designers are continuously facing the question of whether they should design new products using SoC or system in package (SiP) or both. This is especially true in the mobile handset market where consumers are increasing their reliance on the handset to listen to music, take and send pictures, record and watch video, play games and more. Operating multiple applications places an increased strain on system memory requirements, and is driving the need for SiP solutions. Functionality increases with time for SiP and SoCs, and cost is typically the deciding factor for SoC vs. SiP at a given time. 2

11/7/2005 System Memory Requirement is Exploding Motorola 270c Sony Ericsson 2200 (GSM) Sanyo SCP-5100 Volatile Non-volatile Microsoft Orange SPV (GSM) LG KV1300 0 20 40 60 80 100 Megabytes Average system memory in 2G cell phone is 10MB or 80Mb!!! In 2.5G/3G this is exploding to 20-40MB!! Same is true for many other applications.. This has spawned the SiP (stacked die or stacked package PoP) as a solution that meets cost, time to market, footprint and flexibility goals of these applications. Another critical gap the industry must close is faster development and availability of 3D Chip-Package-System Co-Design Automation for electrical, thermal and mechanical compatibility. Development of cost-effective package on package modules is also critical, along with the impact to manufacturing business models and testability. The industry is also experiencing challenges in rolling out complex SoC products that include RF and analog integration around digital cores. Digital and RF integration into smaller modules requires closer placement of the digital and RF dies. This has raised interference issues between the digital and RF signals that must be resolved, and integrated shielding and flip chip solutions could help address the issues. Modeling tools with more predictable results are essential, with the ability to allow virtual packaging of advanced silicon and systems. System and component level reliability failure modes and acceleration factors must be well understood, and there will be an increased need for modeling and design tools to predict manufacturability and reliability performance. Higher Performance and Higher Thermal Density A change from the use of wide/slow busses to high speed serial I/O will drive the need for low cost, matched transmission line characteristic packages, and new thermal management techniques will be implemented to address cooling. We are currently exploring methods such as RF shields, and expect those to become an integral part of packaging for future consumer electronics and portable products. Techniques such as the use of heat pipes and vents will ultimately migrate toward use in these systems and move heat to the system enclosure. Additionally, Multi-Core Micro Processors will drive die size up and increase demands for high performance multi-chip modules. Non-traditional thermal management solutions, such as liquid cooling, compact and solid state refrigeration will be needed but at significantly lower cost. The industry is experiencing increased demand for low-cost, high-performance packages, and development of high-performance wire bond and low-cost flip chip packaging is essential. A better understanding of fundamental issues related with lead-free packaging, for example; metallurgical life prediction models, will aid on this front. In addition, hot spot minimization will drive a need for a high thermal conductivity die attach directly to 3

Cu spreading plates in organic substrate BGA packages. As a result, flip chip packaging portfolios and volumes will grow significantly. New Packaging Materials In the next five years, development of several new packaging materials is critical. Some examples include low stress under fill to support large die sizes, ultra fine pitch bump and more than 10 K bumps/die. Pressurized under fill is one technique showing strong promise. Vacuum under fill solutions for smaller die are also under evaluation, and there is potential for extended use for larger dies. These solutions, however, do not do much to enhance current technology-capillary fill. No flow and wafer-level fill do not hold much promise for larger, high bump count devices, so some additional driving force is necessary for successful fill-vacuum and/or pressure. Thermal stability is a key issue, especially in the automotive environment, and the current material set, and perhaps the fundamental epoxy chemistry will not meet requirements for 180 C continuous operations. Exploration of high junction temperature, >150 C, mold compound and die attach materials is underway as a solution to the challenge. This issue extends to RF modules as power density in RF devices is expected to increase dramatically over the next five years while the size continues to shrink. Highly conductive mold compounds and encapsulates to support RF modules have potential to help maintain thermal conductivity despite these changes. Package substrates will also require considerable development and improvement, especially in high speed electrical transmission applications. For example, silicon substrate can reduce the size of transmission line and line width through the use of lithography technology, and a minimum size transmission line can reduce resistance leading to high speed applications. Some other techniques that may prove successful include coreless thin substrates (wire bond and flip chip) with reduced metal layers to support thin packages and stacked die packages. Substrates with selected solder precoating and thin NiAu plating areas are another alternative. Large format substrates are still experiencing manufacturability, warpage and board attach issues, and these need to be addressed. A very critical requirement is development of low-cost fine pitch flip chip substrates to achieve cost parity with wire bonded packages. This could be achieved by increasing wiring density per layer and reducing layer count. There is also an emergence of lead-free and RoHS-compliant packaging and use of leadfree solders and green materials. To address thermal requirements, new and exotic lid and lid attach materials will be developed. Solder lid attach, high k lids, micro-channels in the Si or lids and other solutions must have low resistance and excellent reliability for adoption in future packages. 4

New Assembly Processes Many new assembly processes will be developed and implemented during the next five years, including ultra thinning of wafers < 50um to enable stacked die, package on package, and ultra thin package. New technology such as laser dicing may be needed, and one example is dicing of advanced Si wafers with low k dielectrics. Wafer level packaging to improve reliability and pin count, ball pitch and routability are also imperative. New under bump metallurgy, bump structure, pre-applied under fill are potential alternatives to improve reliability. Also important is an understanding of the limitations of wire bond technology in high junction temperature type of applications. For example, 150 C Tj is likely the limit for gold wire on aluminum bond pads. New solutions, including different wire alloys and bond pad metal system, need to be explored along with new encapsulation processes. Liquid compound, compression molding, wafer level molding and low stress thin package encapsulation technologies are examples of low stress assembly processes that combined with low force wire bond and no damage multi probing may be needed to support the assembly and packaging of new CMOS copper and ultra low k silicon processes. In conclusion, advanced CMOS technology and scaling are enabling a multitude of new and innovative products across many end equipment markets -- including consumer electronics, mobile handsets, the automotive segment and many others. Advanced process technology is delivering highly differentiated and integrated SoC solutions with higher performance, high thermal density, lower power and lower cost. For packaging, the industry must address technical challenges to keep pace. Development of packaging solutions with a cost structure that follows and matches the silicon cost reduction learning curve is the key to success. References 1. Rickert, Peter, ICCAD 2004 Keynote Speech 2. Stork, Hans, SOC Conference 2005 Keynote Speech 3. Bolanos, Mario, ECTC 2004, Low k Dielectric Panel Discussion 4. Bolanos, Mario, EMAP 205 Keynote Speech 2006 Texas Instruments Incorporated 5