Hot Chips: Stacking Tutorial

Similar documents
TSV Interposer Process Flow with IME 300mm Facilities

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

Thin Wafers Bonding & Processing

3DIC Integration with TSV Current Progress and Future Outlook

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Chips Face-up Panelization Approach For Fan-out Packaging

Molding materials performances experimental study for the 3D interposer scheme

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

Nanium Overview. Company Presentation

Panel Discussion: Advanced Packaging

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Next Gen Packaging & Integration Panel

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

CERN/NA62 GigaTracKer Hybrid Module Manufacturing

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Equipment and Process Challenges for the Advanced Packaging Landscape

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Fraunhofer IZM Bump Bonding and Electronic Packaging

Material based challenge and study of 2.1, 2.5 and 3D integration

S/C Packaging Assembly Challenges Using Organic Substrate Technology

Chapter 3 Silicon Device Fabrication Technology

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Henkel Enabling Materials for Semiconductor and Sensor Assembly. TechLOUNGE, 14 November 2017

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

An Innovative High Throughput Thermal Compression Bonding Process

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

II. A. Basic Concept of Package.

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Wafer Level Molded DDFN Package Project Duane Wilcoxen

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

The Role of Wafer Bonding in 3D Integration and Packaging

Motorola MC68360EM25VC Communication Controller

KGC SCIENTIFIC Making of a Chip

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Enabling Technology in Thin Wafer Dicing

5. Packaging Technologies Trends

Challenges in Material Applications for SiP

SEMI Networking Day 2013 Rudolph Corporate Introduction

Bonding Technologies for 3D-Packaging

TSV CHIP STACKING MEETS PRODUCTIVITY

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

The Development of a Novel Stacked Package: Package in Package

Intel Pentium Processor W/MMX

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

Pouzdření pro moderní elektronické aplikace. Ing. Jiří Starý, SMT Plus. 17. října 2011

Development of Super Thin TSV PoP

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Recent Advances in Die Attach Film

WS-575-C-RT. Halogen-Free Ball-Attach Flux PRODUCT DATA SHEET

Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3)

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Rapid Cleaning Using Novel Processes With Coa7ngs

Increasing challenges for size and cost reduction,

Credit Suisse Technology Conference

Lattice isplsi1032e CPLD

HYPRES. Hypres MCM Process Design Rules 04/12/2016

Roundtable 3DIC & TSV: Ready for HVM? European 3D TSV Summit

Enabling Materials Technology for Multi-Die Integration

Cost Analysis of Flip Chip Assembly Processes: Mass Reflow with Capillary Underfill and Thermocompression Bonding with Nonconductive Paste

Lehman Brothers Global Technology Conference. December 2007

MEPTEC Semiconductor Packaging Technology Symposium

Motorola PC603R Microprocessor

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

Motorola MPA1016FN FPGA

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Chapter 2 Manufacturing Process

Failure Modes in Wire bonded and Flip Chip Packages

Cu electroplating in advanced packaging

UMC UM F-7 2M-Bit SRAM

Challenges for Embedded Device Technologies for Package Level Integration

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

Assembly Reliability of TSOP/DFN PoP Stack Package

Silicon Wafer Processing PAKAGING AND TEST

Flip Chip - Integrated In A Standard SMT Process

Our customers' product lifecycle & Amkor Test Services Development Introduction Growth Maturity Decline

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV.

Rockwell R RF to IF Down Converter

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

NKK NR4645LQF Bit RISC Microprocessor

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Design and Assembly Process Implementation of 3D Components

Cost Comparison of Fan-out Wafer-Level Packaging to Fan-out Panel- Based Packaging

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Transcription:

Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World

Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The Guardian, 2011 Source : in-stat, 2011 FBGA QFN SSOP FBGA QFN WLCSP PoP MEMS Smaller & Thinner Samsung SPH-B3650 Apple iphone4s Source : www.ifixit.com 1

PC Technology Change Desktop PC Micro Desktop PC Note / Netbook PC Slate / Ultra book Tablet PC QFP SOIC PBGA QFP PBGA CABGA FCBGA WLFO WLCSP MLF POP 2

Memory Technology Change Form Factor Bandwidth Extension Die 2010 2015 16 16+1 die LGA & BGA 8+8 die PoP 16die TSV 8 Total 5.3B units Total 9.0B units Prismark 2011 8+1 die LGA & BGA Thin thickness 4 Thin thickness 4 die LGA 4+1 die LGA & BGA 2 Thin thickness High I/O, Thinner 3

AP+Memory Stack Technology Movement PoP TMV Hybrid TSV-Wide IO TSV + Flip Chip 4

3D Packaging Paradigm Shift Package Stacking 3D Stacking 3D IC Package-on-Package Flip chip + Wire bonding TSV Package-in-Package Wire bonding + Wire bonding 5

Flip Chip / Wire bonding stack...evolves into 3D TSV Flip chip stack 6

High End fcbga...evolves into 2.5D TSV Logic and Memory in same Substrate 7

3D TSV Key Process Foundry / IDM / OSAT Front Side Wafer Finish (ubump) TSV Wafer Processing Back Side Wafer Finish (Via reveal/ Passivation/ Plating & etc.) Assembly (TSV Die tacking) Front Micro Bump Pad (Ni/Au) Temporary bond Wafer thinning Flat reveal Silicon Recess Carrier Organic Version Bumping Secondary reveal Backside passivation Inorganic Version Substrate 8

Chip on Interposer First Process Interposer incoming inspection TSV Ni/Au pad Top die attach w/ TC or MR Si TSV wafer (Interposer incoming) Bake u-bump Top die Top die u-bump underfill Si TSV wafer Cure (CoW & underfill) 1 st step - CoW Process 3 rd step - CoS & back end Wafer saw C4 uniderfill (Wafer sawing) CoS w/ MR or TC Cure Lid attach Ball attach (CoS) 2 nd step - MEOL Wafer mold Mold grinding BS-WBG BS-Si etch BS-CVD BS-CMP BS-C4 bumping Wafer mold (Mold) (Mold side grinding) (MEOLw/o WSS) 9

Chip on Interposer First Process 1 2 Front Micro Bump Pad (Ni/Au) Chip Attach & CUF Chip1 Chip2 3 Wafer Mold Chip2 Chip1 Chip2 4 5 Wafer thinning Flat reveal Organic Version Silicon Recess 6-1 Backside passivation 7-1 Secondary reveal 8 C4 Bumping Pass. coat Pass. exposure, Develop and cure UBM +C4 plating Cu reveal By WBG+CMP 9 Mold thinning Dry etch : CF4 Inorganic Version 10 Dicing 6-2 Inorganic passivation PECVD SiN + SiO2 7-2 SiO2 CMP UBM +C4 plating Carrier Chip2 Chip1 Chip2 Chip Attach to Substrate 10

Chip on Interposer First Process High Level Risk Front Micro Bump Pad Ni/Au Pad : Shape, Thickness, IMC embrittlement Chip Attach & CUF : Chip Attach alignment, Flux cleaning, Underfill dispensing Wafer Mold : Warpage, Void Flat Reveal Wafer Thinning + CMP Wafer Cracking, Cu smearing, Cleaning Silicon Recess Dry Etch (CF4) Cu corrosion, Etch rate variance, Slow Etch, Contaminate Passivation organic pass. coating, PECVD Wafer Cracking, Edge Arcing, Thickness/Stress control Secondary Reveal CMP : Wafer Cracking C4 Bumping Mold Thinning (optional) Dicing Saw street cracking 11

Chip on Interposer Last Process 1 st step - MEOL Interposer incoming inspection WSS bonding BS-WBG BS-Si etch BS-CVD BS-CMP BS-C4 bumping WSS de-bonding and 2 nd carrier bonding Carrier wafer Adhesive 12inch TSI wafer (WSS bonding) Carrier wafer Adhesive (MEOL & BS C4 bumping) Adhesive Carrier wafer (WSS de-bonding & 2 nd carrier bonding) 2 nd step - CoW Process Top die pick & place Mass reflow Underfill Cure Interposer saw w/ carrier De-bonding to mount tape Top die Adhesive Carrier wafer (Top die mass reflow & underfill) Carrier wafer (Interposer saw) Mount tape (De-bonding to mount tape) 3 rd step - CoS & back end C4 uniderfill CoS w/ MR or TC Cure (CoS) Lid attach Ball attach 12

Chip on Interposer Last Process 1 2 Front Micro Bump Pad (Ni/Au) Zone bond Organic Version 3 4 Wafer thinning Flat reveal Carrier Silicon Recess 5-1 Backside passivation Pass. coat 6-1 Secondary reveal Pass. exposure, Develop and cure 7 C4 Bumping UBM +C4 plating Cu reveal By WBG+CMP Dry etch : CF4 Inorganic Version 8 2 nd Carrier Bonding & 1 st Carrier Debonding 2 nd Carrier 9 Chip Attach &CUF Chip1 Chip2 5-2 Inorganic passivation PECVD SiN + SiO2 6-2 SiO2 CMP UBM +C4 plating Carrier 1 st Carrier 2 nd Carrier De-bonding, Dicing 13

Chip on Interposer Last Process High Level Risk Front Micro Bump Pad Ni/Au Pad : Shape, Thickness, IMC embrittlement Zone Bond : TTV Control Flat Reveal Wafer Thinning + CMP Wafer Cracking, Cu smearing, Cleaning Silicon Recess Dry Etch (CF4) Cu corrosion, Etch rate variance, Slow Etch, Contaminate Passivation Organic pass. coating, PECVD Wafer Cracking, Edge Arcing, Thickness/Stress control Secondary Reveal Wafer Cracking C4 Bumping 2 nd Carrier Bonding & 1 st Carrier De-bondding Chip Attach on Interposer 2 nd Carrier de-bonding 14

FS NiAu CoC Evaluation CoC Evaluation on E-lytic Ni/Au AOI inspection Ni/Au thickness measurements Auger analysis for surface condition Wafer bonding Simulated backside thermal processes Debond AOI Pad inspection for FM Singulate Mass Reflow TC Bond "FA - X-section, EDX line scan, EDX area mapping" TC CoC FA 15

FS NiAu Plating Evaluation Images - Post UBM Etch Process There are no abnormalities T L C X500 R Missing bump F/M Irregular bump X500 B X200 X500 X500 16

Edge trim & WSS Process validation For edge trimming to reduce chipping. Optimization of wafer bonding to minimize thickness variance of temporary bonding adhesive. Minimizing wafer crack on debonding process. EAR(Etchng Adhesive Removal) optimization 17

Overview of ZoneBOND carrier wafer Z1 (Release Zone) Z2 (Stiction Zone) SU8 2.5 mm ZoneBOND Carrier with low tack zone in the center Silane+FC40 (Z1, release zone) This is anti-sticky zone. Edge zone (Z2, stiction zone) Edge zone width is approximately 2.5mm. Minimum edge zone width is 1.5 mm. SU8 is used as the edge zone mask. 18

Zone treated Carrier Preparation (3) Drop test to Acetone We can confirm that Zone treated carrier wafer(z1) to acetone. Z1 is non stick. The reaction of the material to the wafer is just to make the material chemically bond to the wafer that as a Silanol condensation reaction. Once it reacts with the surface, the single molecule layer that s permanently attached to the carrier acts as a poly tetrafluoroethylene(ptfe) or Teflon like coating on the wafer. 19

ZoneBOND De-bonding Edge Zone Release with EZR & EZD module 20

Failures & Problems Related with ZoneBOND De-Bonding Void Delamination Adhesive squeeze out Blisters Wafer shift Crack at edge zone Crack Wafer shift 21

World Wide Temporary Bonding Methods Thermal Zone Laser Chemical Wedge Machine EVG, TEL, SUSS EVG, SUSS TAZMO, Yushin, SUSS TOK SUSS Material BSI, ShinEtsu, Sumitomo BSI, ShinEtsu, Sumitomo 3M TOK TMAT, Dow Machine price Middle High Middle Middle High Material price High High Middle High Middle TTV Good Normal Good Normal Normal UPH Middle Low High Low High 22

Advantage & Disadvantage of Various Methods POR NEW System Thermal Zone Laser Chemical Wedge Bond Advantage - Using the Si carrier - Using the Si carrier Dis advantage - Long Bonding time - Application of Zone carrier - Bad to adhesive stability - High machine price - Using the UV cure - Low out gassing - Double side bond - Using the Glass carrier - Bad to adhesive generality - 1 layer adhesive coat - Short bonding time - Good to adhesive generality - Using the hole Glass carrier - Coating the top device - High carrier price - Using the Si carrier - Development of an active adhesive - 2 layer coat - Difficult to control adhesion - High machine price Bump process Advantage Dis advantage - Applicable issue to the Si carrier - Bad thermal stability - High adhesive contamination - Applicable issue to the Si carrier - Bad thermal stability - Change the adhesive - Weak to void - High stability (thermal, chemical) - Glass chucking - Weak to void - Advantage of out gassing - High chemical stability - Glass chucking - Bad thermal stability - Process failure by high warp - Applicable issue to the Si carrier - Low adhesion - Concern to Si del. - Weak to void - High adhesion contamination Debond Advantage Dis advantage - No mount tape damage - Need to high temperature process - Bump damage - Thin wafer handling - Room temperature debond - High thermal stability - Long remove time to edge adhesion - Worry about new process - High machine price - Room temperature debond - Possibility to laser damage - Difficult to rework - Adhesion change at surface - High thermal stability - Long time of adhesion removal - After removing the adhesion, possibility of damage - Room temperature debond -Carrier remove to short time -High thermal stability - Wafer edge damage - High machine price 23

WBG and Cleaning Process validation Soft reveal Minimizing TTV with accurate control. Cleaning improvement after wet polish. Flat process Only grinding of Si layer at WBG tool not to expose Cu. Using CMP tool to expose Cu and post CMP cleaning 24

Wafer Thinning & Cleaning Example of Flat process <Device> Mean : 50.1 um Max : 52.7 um Min : 46.8 um TTV : 5.9 um 25

Dry Etch Process validation Soft reveal Acceptable etch rate Optimizing etch rate and uniformity with TSV bonded pairs. Finding via height for ISR process sequence. Flat process Very slow etch rate Optimizing etch rate and uniformity with TSV bonded pairs Etch gas mixing evaluation to improve etch rate without Cu corrosion. 26

Si recess etching : Dry etch 27

Si recess etching : Dry etch Flat process Soft reveal process 28

PECVD Process validation Deposition of SiN and SiO2 Confirming deposition rate, uniformity, stress and RI. Setting up measurement method using elipsometer to check single layer, multi layer. 29

Dielectric deposition : PECVD 30

Dielectric deposition : PECVD Center Middle Edge Note Found no abnormality. 31

Dielectric deposition : PECVD SiN SiO2 Oxide liner Note Found no damage. 32

Dielectric deposition : PECVD SiN SiO2 Oxide liner Note Found no damage. 33

Dielectric deposition : PECVD SiN SiO2 Oxide liner Note Found no damage. 34

CMP Process validation Process optimization to find BKM Oxide/Cu polish process for ISR (Inorganic soft reveal) Si/Cu polish process for flat reveal process Slurry evaluation Post CMP cleaning evaluation 35

Secondary reveal : CMP 36

Secondary reveal : CMP 1k nitride and 2.8um oxide were deposited on these wafers, pillar height at wafer center and edge post etch are not high enough for CMP to fully exposed copper after pillar planarization and OP with 5k oxide removal on the field. 37

Secondary reveal : CMP Fast and good pillar planarization achieved Minimum field oxide Amkor loss Proprietary during Business Information pillar planaraization 38

Secondary reveal : CMP 39

Secondary reveal : CMP 40

Secondary reveal : CMP Post CMP Topography 41

Secondary reveal : CMP Layer Thickness confirmation after CMP 42

Stealth Dicing Process validation Performing SD with wafer frame handling. Evaluation of laser transparent tape. Parameter DOE of laser process Study for auto focus through inorganic passivation 43

Stealth Dicing 44

Stealth Dicing 45

Stealth Dicing 46

TSV Market Value almost $40B 3D through silicon via (TSV) chips will represent 9% of the total semiconductors value in 2017, hitting almost $40B. Packaging, assembly and test market will reach to $8B, the middle-end wafer processing activity such as TSV etching filling, wiring, bumping, wafer testing and wafer-level assembly will reach to $3.8B. Source : Yole Développement, Jul. 2012 47

3D_TSV Commercialization Status Key to 3D commercialization is a cost/performance ratio! Application Driver Status Barrier Image sensors Performance, Form factor Production None CPUs + memory Performance 28nm Si node or beyond Cost, process, yield, infrastructure GPUs + memory Performance 2014 FPGAs Performance Production Cost, process, yield, infrastructure process, yield, infrastructure Wide I/O memory with processor Performance (bandwidth extension, lower power consumption), Form factor 2013 Cost, process, yield, KGD, infrastructure (including business logistics) Memory (stacked) Performance, Form factor (z-height) 2013 Cost, process, yield, assembly 48

Package Stack with TMV TM Technology Solder Ball Via Formed by laser machining 49

Package Stack with WLFO Technology 3D WLFO F2F 2.5D Sensor K MCM WLFO Std WLFO 50

51