Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Similar documents
Laser Spike Annealing for sub-20nm Logic Devices

MOS Front-End. Field effect transistor

Atomic Level Material and Device Analysis for FinFET and Nanowire Design

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

New Materials as an enabler for Advanced Chip Manufacturing

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

New Materials and Processes for Advanced Chip Manufacturing

Implant And Annealing Process Integration Issues To Reduce Device Variability For <10nm p+ & n+ Ultra-Shallow junctions

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

Feature-level Compensation & Control. Workshop April 15, 2004 A UC Discovery Project

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

SCREEN Thermal Products

Conformal Doping for FinFETs by Self-Regulatory Plasma Doping. 16 nm. Bell Shockley Bardeen Brattain 3. Kilby 1959 Noyce 3) MOS FET

Ultra-Shallow Junction Formation on 3D Silicon and Germanium Device Structures by Ion Energy Decoupled Plasma Doping

Processing and Defect Control in Advanced Ge Technologies

THERMAL OXIDATION - Chapter 6 Basic Concepts

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Defect Engineering in Advanced Devices on High-Mobility Substrates

John Borland. JOB Technologies, Kuawa St, Aiea, Hawaii 96701

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Manufacturing Process

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Strain for CMOS performance Improvement

Microelectronics Devices

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Complementary Metal Oxide Semiconductor (CMOS)

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

VLSI Systems and Computer Architecture Lab

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Jr data for 3 x 1013 cm - 2

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation. Introduction: Oxide

Layout-related stress effects on TID-induced leakage current

EE CMOS TECHNOLOGY- Chapter 2 in the Text

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

Resistivity of Ni silicide nanowires and its dependence on Ni film thickness used for the formation

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

EE 143 CMOS Process Flow

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Graduate Student Presentations

Aqueous Ammonium Sulfide Passivation and Si 1-x Ge x MOSCaps

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

6.774 Fall 2006: Global and Local Stress to Enhance CMOS Performance

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

New conductors what are the options? Marleen van der Veen

Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

9/4/2008 GMU, ECE 680 Physical VLSI Design

Chapter 3 Silicon Device Fabrication Technology

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

Hafnium -based gate dielectrics for high performance logic CMOS applications

SCHOTTKY BARRIER ENGINEERING FOR CONTACT RESISTANCE REDUCTION IN NANOSCALE CMOS TRANSISTORS MANTAVYA SINHA

Hei Wong.

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

Chapter 2 Manufacturing Process

EECS130 Integrated Circuit Devices

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

EE 330 Lecture 9. IC Fabrication Technology Part 2

Materials Perspective on Interconnects

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Isolation Technology. Dr. Lynn Fuller

0HE, United Kingdom. United Kingdom , Japan

Make sure the exam paper has 9 pages total (including cover page)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Why does pyrite have a low photovoltage?

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

Flash Annealing Technology for USJ: Modeling and Metrology

Low Thermal Budget NiSi Films on SiGe Alloys

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

VLSI Design and Simulation

id : class06 passwd: class06

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Semiconductor Very Basics

Review of CMOS Processing Technology

Scanning Transmission Electron Microscopy of Thin Oxides

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Transcription:

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS Junction Technology Group Semicon West Meeting July 14 th, 2016 Applied Materials External Use

Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 2

Spacer Contact Contact resistivity (e -9 Ω cm 2 ) Low Contact Resistance Requirement for Transistor Continuous Scaling Intel 14nm, IEDM 2014 10 Parasitic resistance requirement for 10/7/5 nm nodes (Ω-um) 8 R plug Metal Gate 6 33 R interface R access silicide S (epi) D (epi) 4 Fin 2 +10% R Plug R Interface R access 0 N5 N7 2 6 10 14 18 Equivalent Contact CD (nm) 3

Impact of Scaling on External Resistance Fin pitch scaling reduces contact area increases Rc Tall fin height results in increase of S/D resistance (RSD) External resistance is limiting transistor performance Require Innovative doping and Annealing solutions for NFET & PFET to reduce Rc and RSD 4

Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 5

Damage Engineering with Cryogenic Implants Physical Mechanism F. Khaja et al., APL 2012 Cryo Implants Reduced EOR & Defects, Improved Activation, Yielded Better Silicidation, Less Variability, High Drive Current 6

Damage Engineering with Thermal Implants Physical Mechanism RT Thermal interstitials (I) and vacancies (V) form amorphous pockets (AP) when they are within a capture distance Amorphous pockets (Is-Vs clusters) evolve to form Amorphous layer I-V fast recombination (jump frequency when T ) M. Togo et al., IMEC, VLSI 2013 M. Togo et al., IMEC, VLSI 2013 Hot implant reduces Amorphous Pocket Growth Hot Implant enhances dynamic annealing Thermal implant reduces Damage Improve Device performance >15% Thermal Implant Reduces Crystal Damage, Reduces Device Leakage 7

Plasma Doping: Shallow & Conformal Implant Fin top Fin bottom As concentration (at/cm -3) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 1E+16 Conformality Corrected 1.5D SIMS with area Si 150 250 350 Depth (nm) 150 nm Applied Materials internal development Plasma doping enables high doping concentration on surface and is a promising enabler for conformal contact 8

Implant Effect to Rc on HDSiP >50% C.N.Ni et al., VLSI 2016 Plasma implant is a promising enabler for conformal contact 9

Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 10

Metal Work-function (ev) Energy Band(eV) Energy (ev) Ge-rich contact interface for PMOS ρc reduction Band Gap Modulation by Ge in SiGe Lower Rc (SBH, DSS) High Surface Doping Narrow Bandgap(Ge) Pinning close to valence Fermi-level band pinning R c C C q exp B 1 1 2 N if Area Metal M/Si M/Ge 1 0 1.1 0.5 0 Conduction Band 100% 70% 45% 0 50 100 Ge% in SiGe Yang et al., Semi. Sci. Tech. 19, 1174 (2004) Ge% reduces PMOS contact SBH PMOS ρc reduction 11

Contact Resistivity vs. Ge% Ge% PMOS Rc AMAT Ti/SiGe contact test vehicle Ge Contact metal: TiN/Ti TiN/Ti TiSi x Ge y SiGe SiGe70% SiGe45% -9.0-8.5-8.0-7.5-7.0-6.5-6.0 Contact Resistivity (Ωcm 2 ) in Log C.N.Ni et al., VLSI-TSA 2016 P contact ρc reduces with increase in Ge at contact. ρ c = 3e-9 Ωcm 2 with Ge:B PSD 12

SIMS Ge concentration (%) Ge-rich Surface Formation by Nanosecond Laser Anneal Ge segregation at surface Ge depletion at bulk 70 60 50 40 Applied Materials internal development SPER SiGe SiGe Si Si 30 20 I/I I/I, nsec low energy I/I, nsec high energy 0 10 20 30 40 50 Distance from surface (nm) C.N.Ni et al., VLSI-TSA 2016 Ge is segregated towards SiGe surface with Implant + NLA 13

Ge% Laser energy Ge Segregation by Nanosecond Laser Anneal SiO 2 W TiSi x Ge y Al Laser Anneal vs. ρc I/I + nsec anneal SiGe45% 65% 45% -40 ~20nm -20 0 20 40 Distance (nm) SiGe45% Ref. -9.0-8.5-8.0-7.5-7.0 Contact resistivity (Ωcm 2 ) in Log C.N.Ni et al., VLSI-TSA 2016 Localized Ge segregation by nsec laser anneal 35% of ρc improvement

Si 0.3 Ge 0.7 :B Ultralow Contact Resistivity By Nanosecond Laser Anneal Test Structure Results Process Flow H.Yu et al., VLSI 2016 H.Yu et al., VLSI 2016 Optimization of Implant and nanosecond laser anneal resulted in ρc: ~2 10-9 Ω cm 2 15

Super-activation with Post Implant Nanosecond Anneal Fluence 370 410 430 Contact open implant 8e-10 Ωcm 2 0.7e -9 HDSiP + BL I/I + nsec Applied Materials internal development 15nm HDSiP W HDSiP + Plasma I/I 1e-9 Ωcm 2 HDSiP + BL I/I HDSiP ref. 2.5e -9 1e -9 1e -8 1e -7 Contact resistivity (Ωcm 2 ) Applied Materials internal development 15nm W Ti\TiN HDSiP C.N.Ni et al., VLSI 2016 Post implant nsec laser anneal brings NMOS to 1x10-9 Ωcm 2 16

Outline Motivation for Contact Resistivity (ρc) Reduction Advanced Implant Techniques for ρc Reduction Nanosecond Laser Anneal for PMOS and NMOS ρc Reduction Summary 17

Summary Implant and Anneal optimization is required for achieving low ρ C Implant defect recovery is the key for low contact resistance Plasma implant offers shallow/dopant-rich implanted surface and improved conformality PMOS ρc: Ge-rich contact interface benefits to PMOS Rc, with 3e-9 Ωcm 2 achieved with pure Ge (B@1e20 at/cm 3 ) nsec laser anneal after amorphization implant effectively segregates Ge towards SiGe surface NMOS ρc: Pathways for further ρ C reduction to < 1x10-9 Ωcm 2 and below is adding/optimizing post implant nsec laser anneal 18