CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

Similar documents
Evaluation of Copper CMP Process Characterization Wafers

SLURRY FORMULATION OPTIONS

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

New Applications of Chemical Mechanical Planarization

Post-CMP Cleaning: Interaction between Particles and Surfaces

Advanced STI CMP Solutions for New Device Technologies

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Effect of temperature on copper chemical mechanical planarization

Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper

Mean Residence Time and Removal Rate Studies in ILD CMP

Surface roughness of optical quartz substrate by chemical mechanical polishing

Hybrid BARC approaches for FEOL and BEOL integration

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

Post CMP Defects; Their Origin and Removal

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish

CMP Defects and Evolution of PCMP Cleans

5/3/2010. CMP UG

Advanced pad conditioner design for Oxide/Metal CMP

CMP challenges in sub-14nm FinFET and RMG technologies

Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

Tutorial on Chemical Mechanical Polishing (CMP)

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

On-line patterned wafer thickness control of chemical-mechanical polishing

Development of different copper seed layers with respect to the copper electroplating process

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer

Chemical-mechanical polishing process development for III-V/SOI waveguide circuits

Supporting Information. Low temperature synthesis of silicon carbide nanomaterials using

Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

The effect of hydrogen peroxide on polishing removal rate in CMP with various

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Characterization of 5-Aminotetrazole as a Corrosion Inhibitor in Copper Chemical Mechanical Polishing

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

200mm Next Generation MEMS Technology update. Florent Ducrot

New Materials as an enabler for Advanced Chip Manufacturing

TSV Interposer Process Flow with IME 300mm Facilities

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

p. 57 p. 89 p. 97 p. 119

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

Sample Preparation Techniques (Theory & Applications)- Microsectioning Technology, Metallography

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

Low temperature deposition of thin passivation layers by plasma ALD

HIGH TEMPERATURE CERAMIC & GRAPHITE ADHESIVES

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Functional Ceramics for Electronics and Energy Technology

Understanding and Reducing Copper Defects

Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Plasma-Enhanced Chemical Vapor Deposition

Semiconductor Technology

Metallographic Preparation of Titanium and its Alloys

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Evaluation of Cu Pillar Chemistries

Evaluation of a New Advanced Low-k Material

IMP EPD End Point Detector

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc.

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

300mm Wafer Stain Formation by Spin Etching

Rapid Cleaning Using Novel Processes With Coa7ngs

Effect of alignment mark depth on alignment signal behavior in advanced lithography

Chemical mechanical polishing of polymeric materials for MEMS applications

Atomic Layer Deposition

How can MOCVD enable production of cost efficient HB LED's

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

How To Write A Flowchart

Synergy between chemical dissolution and mechanical abrasion during chemical mechanical polishing of copper

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

DuPont MX5000 Series

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Hot Chips: Stacking Tutorial

Deforming water droplet with a superhydrophobic silica coating

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Wafer Level Packaging EKC162 Photoresist & PI/PBO Remover. Date. WLP Remover V1.5

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Transcription:

CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get any planar than that. sm E N A B L I N G T E C H N O L O G Y C O M M I T T E D T O Q U A L I T Y 2002 EKC Technology, Inc.

AGENDA Introduction * Current Cu/SiLK Integration * Cu/SiLK CMP Process * Nitrogen-based slurry Experimental Set-up * Polisher, Pads, Measurement Equipment, Wafers and Slurries Results Conclusion *Step 2 Hydroxylamine/Silica-Based Slurry for Cu/SiLK (porous and regular) Applications a. High Selectivity b. Low/Non Selectivity * Copper and SiLK Surface RmS, FTIR After CMP

Copper/SiLK Integration Scheme Cu TaN SiC SiC SiO 2 SiLK SiO 2 Si Structure with a cap layer The final stop layer is at ILD layer With Cap layer: Stop at SiO 2 Without Cap layer: Stop at Low-k layer

Copper/SiLK Integration CMP Process Cu TaN SiO 2 SiC SiLK SiC SiO 2 Si Step 1 Step 2 Phase-I Phase-II Selectivity Non/Low Cu:TaN = >20:1 Selectivity Stop at barrier layer Single Step Stop at SiO 2 /SiLK layer Single - Phase

Nitrogen-Based Slurry Development for Copper CMP Hydroxylamine Reduction Potentials & Reaction Paths OXIDATION REDUCTION BASIC 0.15 0.73 0.1 0.01 NO2 0.94-3.04 NH2OH N2H4 NH 3 NO 3 N2O N2 0.94 HNO 3 1.77-1.87 NH 3OH + N2H5 + NH 4 + ACIDIC 1.297 1.41 1.275 Reference: Dr. Srini Raghavan Copper Removal in Hydroxylamine Based Slurries 7th International Symposium

Current EKC Cu Slurries Hydroxylamine-Based Slurry Development for Copper CMP Oxidizer Abrasive Application Cu Phase-I Hydroxylamine Based Al 2 O 3 Removal Cu layer Cu Phase-II Hydroxylamine Based Colloidal Silica (Supply A) Removal TaN with a high selectivity Hydroxylamine Based Colloidal Silica (Supply A & B) Removal Cu, TaN, TEOS at a same speed (non selectivity) Single Phase Hydroxylamine Based Al 2 O 3 Removal Cu, barrier and stop at ILD layer

Experimental Set-up * Polisher: IPEC 472; Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration *Polishing Pads: Rodel IC1000 k-groove/suba IV or Politex Embossed polishing pads * Measurement Equipment: Cu and TaN Thickness: CDE ResMap 176 TEOS Thickness: KLA-Tencor 650 SiLK Thickness: KLA-Tencor 650 and Gaertner Ellipsometry SiLK surface chemical change: BioRad FT-IR spectrometer (FTS175C) Cu Surface roughness: Digital Instrument AFP200 *Slurries: EKC Cu Phase-I and Phase-II oxidizers, EKC Cu Alumina and Silica Abrasives * Blanket Wafers: 200mm EP copper, TaN, TEOS and SiLK: V9-LC (porous), V9-HC (porous) SiLK-I (regular) SiLK*I (Ensemble).

SiLK Blanket Wafer Types Porous SiLK (V9-LC & V9-HC) Regular SiLK (SiLK-I) Regular SiLK Ensemble ES film Regular SiLK (SiLK*I) SiLK+Ensemble Integrated Stack

Alumina Based Slurry for SiLK Film Sheet Film Removal Rate IPEC 472 Polisher / IC1000 k- grv/suba IV Pad 5000 Process (soft landing) Slurry mixing (v/v/v): 4000 2 psi polishing pressure 50% Cu Oxidizer, Film MRR, A/min 3000 2000 70 rpm platen speed 25% DIW 75 rpm carrier speed 25% Al 2 O 3 (5% solids) 200 ml/min slurry flow 1000 0 Cu, 5 psi Cu, 2psi TaN Blanket Wafers TEOS SiLK*I(ES) RESULTS 1) SiLK film RR was 60% lower than the TEOS. TEOS RR = 195Å/min, SiLK RR = 50 Å/min 2) Both Cu and SiLK Rms reduced after CMP. Pre-CMP: Cu>>30Å, SiLK=6.5 Å. Post CMP Cu = 7.3 Å, SiLK = 5.6 Å

Silica Based Slurry for High Selectivity Application Mean Removal Rate vs. Cu-II Formulation RESULTS Sheet Film MRR, A/min 1500 1200 900 600 300 1) Selectivity of Cu:TaN:SiLK = 1: 3-11: <1 2) TaN removal rate is related to Cu-II oxidizer concentration as expected. 3) Reducing either oxidizer or abrasive will reduce SiLK removal rate. 4) Post CMP Rms of Cu and SiLK was 5-10 Å. Cu 0 A B C D Cu-II Nitrogen-Silica Based Slurry Formulation TaN TEOS SiLK*I (ES) Formu Slurry Formulation Oxidizer Silica Solids Post CMP Rms (A) Abrasive Type Cu SiLK A 50% 5% 7 5 IPEC 472 Polisher / Politex Embossed Pad Process: 3 psi polishing pressure, 50-70 rpm platen speed B 20% 5% Abra-I 10 7 C 50% 1% 9 6 D 50% 5% Abra-II 7 5 55-75 rpm carrier speed, 200 ml/min slurry flow no conditioning in between

Silica Based Slurry for High Selectivity Application High Selectivity Slurry for SiLK Film High Selectivity Slurry for SiLK Film 600 600 Sheet Film MRR, A/min 500 400 300 200 100 0 Slurry Type A Slurry System Cu TaN TEOS Porous-LC Porous-HC SiLK-I SiLK*-I SiCN Sheet Film MRR, A/min 500 400 300 200 100 0 Slurry T ype E Slurry System Cu TaN TEOS Porous-LC Porous-HC SiLK-I SiLK*-I SiCN Target: Lower SiLK Film MRR * Original high selectivity slurry (Type A, Cu:TaN:Oxide = 1: 5: 1) shows a higher porous SiLK MRR * Slurry Type E reduced porous SiLK MRR efficiently. Process Set up: IPEC 472 Polisher / Politex Embossed Pad Process: 2 psi polishing pressure, 70 rpm platen speed,75 rpm carrier speed, 200 ml/min slurry flow.

Silica Based Slurry for Low/Non Selectivity Non Selectivity Slurry for SiLK Film RESULTS Sheet Film Removal Rate, A/min 600 500 400 300 200 100 Cu TaN TEOS Porous-LC Porous-HC SiLK-I Low/Non Selectivity slurry (Type C and D) showed similar TaN MRR to Cu and Oxide. They also showed a good control for SiLK porous film as well as regular SiLK film. SiCN MRR is similar to oxide 0 Slurry Type F Slurry System Slurry Type G SiLK*-I SiCN Process Set up: IPEC 472 Polisher / Politex Embossed Pad Process: 2 psi polishing pressure, 70 rpm platen speed 75 rpm carrier speed, 200 ml/min slurry flow

SiLK*I (Ensemble) Film MRR vs. Polishing Pressure SiLK*I (ES) Film MRR, A/min 4000 3000 2000 1000 0 SiLK*I (ES) Film MRR vs. Polishing Pressure 1 2 3 4 5 Down Force, PSI Polishing Removal SiLK*I (ES) Pressure Rate Rem NU (PSI) (A/min) % 1 15 n/a 2 40 n/a 3 174 4 1367 15.8 5 3576 6.5 Other Parameters IPEC472 polisher Politex reg pad 90 rpm pp 95 rpm cs 200 ml/min sf Slurry Type F RESULTS SiLK*I (ES) film has a lower removal rate with lower polishing pressure.

SiLK Surface FTIR Before and After CMP 1.4 Porous (V9-LC) SiLK Film FTIR Pre vs. Post CMP Conclusion Adsobence (Stacked) 1.2 1 0.8 0.6 1) SiLK Porous and SiLK (ES) Film have no changes on FTIR before and after CMP 2) SiCN Film has no changes on FTIR before and after CMP 0.4 SiLK (ES) Film FTIR Pre vs. Post CMP V9-LC-Pre 1.4 0.2 3998 1.2 3612 3227 2841 2455 2069 1683 1297 Wavenumber (cm-1) SiCN Film FTIR Pre vs. Post CMP 911 525 Type A Type B Type C Type D Adsorbence (stacked) 1.2 1 0.8 0.6 1 0.4 Adsorbence (Stacked) 0.8 0.6 0.2 3998 3612 3227 2841 2455 2069 1683 Wavenumber (cm-1) 1297 911 525 SiLK*-I-Pre Type A Type B Type C Type D 0.4 0.2 3998 3612 3227 2841 2455 2069 Wavenumber (cm-1) 1683 1297 911 525 SiCN-pre Type B Type D

Copper and SiLK Surface After CMP Cu and SiLK Surface Finishing 15 12 RmS (Angstroms) 9 6 3 0 Pre A E F G Slurry System SiLK*I (ES) Cu 1) SiLK RmS was similar before and after CMP 2) Cu prerms was >30 A..

Conclusion: Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration * Hydroxylamine-based slurry is compatible for Cu/SiLK (both porous and regular) CMP process. * With silica abrasive, the slurry can be designed for either a high selectivity or non-selectivity of Cu/TaN/Oxide and efficiently stop at SiLK layer. * No delaminating of SiLK films. * SiLK surface RmS and chemical composition were the same as pre-cmp. * Film removal non-uniformity and wafer profile are within the spec. * The new nitrogen-based slurries have the potential to reduce the COO.

Acknowledgements We would like to thank: Dow Chemical Ketan Itchhaporia, Michael Simmonds Don Frye EKC Technology Don Frey Philippe Chelle Mel Carter