Next Generation ewlb (embedded Wafer Level BGA) Packaging

Similar documents
Development of Next-Generation ewlb Packaging

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Board Level Reliability of Automotive ewlb (embedded wafer level BGA) FOWLP

Chips Face-up Panelization Approach For Fan-out Packaging

RF System in Packages using Integrated Passive Devices

ICEP-IAAC 2012 Proceedings

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

Die Thickness Effects in RF Front-End Module Stack-Die Assemblies

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Mobile Device Passive Integration from Wafer Process

Development of System in Package

Panel Discussion: Advanced Packaging

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

3D-WLCSP Package Technology: Processing and Reliability Characterization

Alternative Approaches to 3-Dimensional Packaging and Interconnection

Innovative Substrate Technologies in the Era of IoTs

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Graser User Conference Only

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Failure Modes in Wire bonded and Flip Chip Packages

23 rd ASEMEP National Technical Symposium

Semiconductor Packaging and Assembly 2002 Review and Outlook

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor

Chip Packaging for Wearables Choosing the Lowest Cost Package

Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY Such permission of the IEEE does not

Package Solutions and Innovations

FOR SEMICONDUCTORS 2007 EDITION

Thin Wafers Bonding & Processing

High Density PoP (Package-on-Package) and Package Stacking Development

Design for Flip-Chip and Chip-Size Package Technology

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

Roundtable 3DIC & TSV: Ready for HVM? European 3D TSV Summit

1 Thin-film applications to microelectronic technology

Challenges for Embedded Device Technologies for Package Level Integration

Recent Advances in Die Attach Film

An Innovative High Throughput Thermal Compression Bonding Process

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Wafer Level Chip Scale Package (WLCSP)

9 rue Alfred Kastler - BP Nantes Cedex 3 - France Phone : +33 (0) website :

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

Packaging Substrate Workshop Wrap Up. Bob Pfahl, inemi

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

The 3D Silicon Leader

14. Designing with FineLine BGA Packages

Embedded Cooling Solutions for 3D Packaging

New Technology for High-Density LSI Mounting in Consumer Products

3D technologies for More Efficient Product Development

Study of the Interface Microstructure of Sn-Ag-Cu Lead-Free Solders and the Effect of Solder Volume on Intermetallic Layer Formation.

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Xilinx CN Package Qualification Updates for MRQW 2015 Kangsen Huey Space Product Marketing Manager January, 2014

3D Packaging- Synthetic Quartz Substrate and Interposers for High Frequency Applications. Vern Stygar #1, Tim Mobley* 2 # Asahi Glass Corporation

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Hot Chips: Stacking Tutorial

The Packaging and Reliability Qualification of MEMS Resonator Devices

Flexible Carrier Enables Automated Test-in-Tray. Dr. Tom Di Stefano Centipede Systems

Prime Technology Inc.(PTI), Engineering Capability

Mixed Pitch BGA (mpbga) Packaging Development for High Bandwidth-High Speed Networking Devices

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

Rockwell R RF to IF Down Converter

Bosch BME680 Environmental Sensor with Integrated Gas Sensor

SEMI MEMS Tech Seminar (Sept 26, Cornaredo, Italy)

Quality and Reliability Report

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

Silicon Wafer Processing PAKAGING AND TEST

Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs)

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

A NOVEL HIGH THERMAL CONDUCTIVE UNDERFILL FOR FLIP CHIP APPLICATION

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Infineon RRN7745P & RTN7735P ewlb Fan-Out Package - 77GHz Radar Dies

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

TSV Interposer Process Flow with IME 300mm Facilities

Flip-Chip Process Improvements for Low Warpage

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Simulation Study on the Warpage Behavior and Board-level Temperature Cycling Reliability of PoP Potentially for High-speed Memory Packaging

Innovative MID Plating Solutions

Selection and Application of Board Level Underfill Materials

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Quality and Reliability Report

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

Transcription:

Next Generation ewlb (embedded Wafer Level BGA) Packaging by Meenakshi Prashant, Kai Liu, Seung Wook Yoon Yonggang Jin, Xavier Baraton, S. W. Yoon*, Yaojian Lin*, Pandi C. Marimuthu*, V. P. Ganesh**, Thorsten Meyer** and Andreas Bahr** STMicroelectronics 629 Lorong 4/6 Toa Payoh Singapore 319521 *STATS STATS ChipPAC ChipPAC Ltd. Ltd. 10 10 Ang Ang Mo Mo Kio Kio Street Street 65 65 #05-17/20 #05-17/20 Techpoint Techpoint Singapore Singapore 569059 569059 **Infineon Technologies AG, 168 Kallang Way Singapore 349253 Copyright 2010. Reprinted from 2010 Electronics Packaging Technology Conference (EPTC) Proceedings. The material is posted here by permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any STATS ChipPAC Ltd s products or services. Internal or personal use of this material is permitted, however, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or distribution must be obtained from the IEEE by writing to pubs-permission@ieee.org. By choosing to view this document, you agree to all provisions of the copyright laws protecting it.

Next Generation ewlb (embedded Wafer Level BGA) Packaging Yonggang Jin, Xavier Baraton, S. W. Yoon*, Yaojian Lin*, Pandi C. Marimuthu*, V. P. Ganesh**, Thorsten Meyer** and Andreas Bahr** STMicroelectronics, 629 Lorong 4/6 Toa Payoh, 319521 Singapore *STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 **Infineon Technologies AG, 168 Kallang Way, 349253 Singapore yonggang.jin@st.com ABSTRACT Demand for wafer level packaging (WLP) is being driven by the need to shrink package size and height, simplify the supply chain and provide a lower overall cost by using the infrastructure of a batch process. Fan-in (FI)-WLP typically has a limitation to be less than 6x6mm in order to pass board level reliability requirements such as drop test and temperature cycle due to the mismatch of Si material properties to the PCB. However, the Fan-out (FO)-WLP, has been developed and introduced into production to allow for higher ball count WLP, by extending the package size beyond the area of the chip. The most prominent type of FO-WLP is the ewlb technology (embedded Wafer Level Ball Grid Array). Currently 1st generation ewlb technology is available in the industry. This paper will highlight some of the recent advancements in next generation ewlb technologies including multi-rdl, thin ewlb and extra large ewlb as well as double-side with vertical interconnection. These key technologies of next generation ewlb enable 3D ewlb applications such as SoW (SiP on Wafer) and 3D SiP. 3D ewlb can be implemented with through silicon via (TSV) applications as well as discrete component embedding. The process flow of next generation ewlb fabrication, assembly and packaging challenges will be discussed. This paper will also present some of the achievements in package reliability, mechanical characterization and performance. forward with this trend, packaging semiconductor devices for handheld electronics has become more challenging than ever before. Growing mismatch in interconnect gap, adding different functional chips for different features and application in similar system footprint and package size reduction to increase battery size for extended usage has opened the window for innovative embedding packaging technology. To meet the above said challenges ewlb was developed [1] which offers additional space for routing higher I/O chips on top of Silicon chip area which is not possible in conventional WLP or WLB. It also offers comparatively better electrical, thermal and reliability performance at reduced cost with possibility to address more Moore [decreasing technology nodes with low-k dielectrics in SoC] and more than Moore [heterogeneous integration of chips with different wafer technology as SiP solution in multi die or 3D ewlb approaches]. WLP applications are expanding into new areas and are segmenting based on I/O count and device. The foundation of passive, discrete, RF and memory device is expanding to logic ICs and MEMS. The WLP segment has matured over the past decade, with numerous sources delivering high-volume applications across multiple wafer diameters and expanding into various end-market products. With infrastructure and high volumes in place, a major focus area is cost reduction. INTRODUCTION Integrated Circuits fabricated on silicon is assembled in different forms of electronic packages and are used extensively in electronic products such as personal, portable, healthcare, entertainment, industrial, automotive, environmental and security systems. Current and future demands of these electronic systems in terms of performance, power consumption, reliable system at a reasonable cost are met by developing advanced/appropriate silicon process technology, innovative packaging solutions with use of chippackage-system co-design, low cost materials, advanced assembly and reliable interconnect technologies. In this article packaging evolution for hand held application is discussed with special focus on next generation chip embedding technology called ewlb in detail. In just one decade hand phone has transformed from a simple communication device into more complex system integrating features that allow customers to use it as a multipurpose gadget. The carrier technology has jumped from 1G to 3G, changing at the rate of every two years and with room for potential growth with global adoption. Moving 978-1-4244-8561-1/10/$26.00 2010 IEEE 520 Figure 1. Driving force for wafer level packaging One of the most well known examples of a fan-out WLP structure is ewlb technology by Infineon Technologies AG. This technology uses a combination of front- and back-end manufacturing techniques with parallel processing of all the chips on a wafer, which can greatly reduce manufacturing costs. Its benefits include a smaller package footprint

compared to conventional leadframe or laminate packages, medium to high I/O count, maximum connection density, as well as desirable electrical and thermal performance. It also offers a high-performance, power-efficient solution for the wireless market.[2] Figure 4. Schematics of construction of ewlb. Figure 2. Comparison of FI-WLP and ewlb (FO-WLP) ewlb TECHNOLOGY ewlb technology is addressing a wide range of factors. At one end of the spectrum is the packaging cost along with testing costs. Alongside these are physical constraints such as its footprint and height. Other parameters that were considered during the development phase included I/O density, a particular challenge for small chips with a high pin count; the need to accommodate systems in package (SiP) approaches, thermal issues related to power consumption and the device's electrical performance (including electrical parasitic and operating frequency). Figure 3. ewlb wafer after packaging with reconstitution, RDL and backend processes. The obvious solution to the challenges was some form of WLP. But two choices presented themselves: fan-in or fanout. Fan-in WLP is an interconnection system processed directly on the wafer and compatible with motherboard technology pitch requirements. It combines conventional front- and back-end manufacturing techniques, with parallel processing of all chips. There are three stages in the process. Additional fab steps create an interconnection system on each die, with a footprint smaller than the die. Solder balls are then applied and parallel testing is performed on the wafer. Finally, wafers are sawn into individual units, which are used directly on the motherboard without the need for interposers or underfill. The ewlb approach should not be confused with bumped flip chip devices which have a finer pitch, smaller bumps and hence need underfill. ewlb, meanwhile, is a fan-out process. The die is surrounded by a suitable material, which spreads the package footprint outside the die. Tested good dice are embedded in an artificial plastic wafer (reconstituted wafer) using a wafer level molding technique. Front end isolation and metallization processes are then used to fan-out the interconnections to the surrounding area with lithography and patterning wafer level processes. Again, solder balls are applied and parallel testing is performed on wafer. The reconstituted wafer is then sawn into individual units, which are packed and shipped. With the fan-in approach, the number of interconnects and their pitch must be adapted to the chip's size. ewlb, by contrast, supports a fan out area which is adaptable and which has no restriction on ball pitch. Advantage of ewlb Next generation variations of the ewlb enabling two or more layers of routing, expanding the package size to 12x12mm, allowing for thinner packages, side by side chips within the ewlb, and eventually double sided Package on Package (PoP) ewlb are being jointly developed with our technology partners for introduction in the near future. The current BGA package technology is limited by the organic substrate capability. Moving to ewlb helps overcome such limitations and also simplifies the supply chain. Building the routing layers on package itself allows for higher integration and routing density with less metal layers. ewlb is a next generation platform that will support future integration, particularly for wireless devices and this packaging technology has a number of important features. Transition to ewlb packaging technology enables a significant reduction in recurring costs by eliminating the need for tool up of expensive substrates. BGA packaging also faces a challenge with technology nodes beyond 65nm as the device performance density drives the need for flip chip. But advanced flip chip nodes drive fine pitch combined with weaker low-k dielectric structures resulting in flip chip packages that has narrow process margin. In addition, there is a big trend in being environmentally friendly, driving lead free and halogen free, or green, material sets. With ultra low-k and interconnects pitch becoming smaller and smaller and with the shift to lead free materials, the technical limitations faced by the packaging industry are becoming more challenging. ewlb technology provides a 521

window for packaging next generation devices in a generic, lead-free/halogen free, green packaging scheme. NEXT GENERATION; 3D ewlb TECHNOLGOY The first generation of ewlb technology was designed for a single side and 1layerRDL approach. To address the advanced requirements in the market for higher performance and design complexity, new technical items and envelops should be developed and implemented into the current ewlb technology as shown below; Multi-layer RDL ewlb: More than one metal layer can be present in both sides; Thin ewlb : Package thickness is reduced to 0.5mm Multichip ewlb : More than one chip is embedded Large size ewlb: Package size is increased to 12x12mm 2 Double-side ewlb with vertical interconnection: Both sides of reconstituted wafer have isolation and metal layers, connected by means of conductive vias in the plastic portion of the wafer Multi-layer RDL ewlb Packaging In situations where a device may have an interconnect pad arrangement or a flip chip or wafer level component, an additional layer of lateral connections may be employed to rearrange the connections in a manner suitable for wafer level processing. This additional layer is known as a redistribution layer or RDL and fabricated from a thin layer of metal with dielectrics in between. (a) @ 10GHz, 0.25 db/mm @ 60GHz)[4]. Inductors in ewlb offer significantly better performance compared to inductors in standard on-chip technologies. Further improvement of the quality factor of the integrated capacitors by using low-loss thin-film dielectrics on ewlb was reported as well[5]. There was another report that a 77 GHz SiGe mixer packaged as an ewlb had excellent high frequency electrical performance due to the small contact dimensions and short signal pathways which decreased parasitic effects[6]. Thin ewlb Packaging For mobile and handheld applications, portability is a critical factor for product selection. The thinner package can provide better board level reliability as well as lighter and thinner profile in system level. Using advanced thinning technologies, ewlb was thinned down to 250m thickness as shown in Figure 8. The critical technical challenges were handling the thin wafer and grinding and removing of Si/epoxy material together using the same process steps. There was found more than 60% increase in TCoB (temperature Cycle on Board) performance with thinner ewlb. Drop reliability also improved significantly. Figure 6. Thin ewlb after ewlb packaging process. Figure 5. (a) Photo and (b) SEM micrograph of crosssection of 2-layer RDL ewlb. (b) Multi-chip ewlb Packaging Side-by-side multichip packaging can provide more design flexibility for SiP applications because a chip designer has more freedom in pad location as well as circuit block allocation. 3D ewlb technology utilizes very fine pitch metal line width and space as well as multi-layer RDL process, so it provides better technical solutions for multi-chip packaging. It can be used for various combinations such as, RF receiver and digital device, PA (power amplifier) and IPD (integrated passive devices) and memory and controller. ewlb uses fine pitch metallization and well controlled interconnection with wafer fab lithography process thus it has great advantage to provide better electrical performance compared to wirebonding and organic substrate technology. RDL is for higher electrical performance and complex routing to meet electrical requirements. It also can provide embedded passives (R, L, C) using a multi-layer structure. Excellent performance of transmission lines (TMLs) was reported in manufacturing ewlb (Insertion loss 0.1 db/mm 522 Extra Large ewlb Packaging FI-WLP has its size limitation of ~5x5mm due to board level reliability (BLR) requirement. For 1 st gen ewlb of 8x8mm, it passed successfully industry BLR standard tests. 12x12 mm ewlb packages were designed and fabricated as

shown in Fig.7 with 1, 2 and 3 dies. It was found that 12x12mm ewlb passed drop reliability test. To improve further TCoB reliability, various approaches are explored and studied in design, process as well as materials with computational simulation work. With optimized design works, 12x12mm ewlb successfully passed TCoB 500 cycles (- 40/125C, 2cycles/hr.). postage stamp, represents the best of both worlds. SiP, as the name implies, is a technology that allows the placement of several integrated circuits in one package, providing a complete set of device electronics in a small area. This technique saves board space by integrating devices that were once spread farther apart on the circuit board. (a) (b) (a) (c) (b) Figure 7. 12x12mm ewlb packages with (a) 1-die (10x10mm2), (b) 2-die and (c) 3-die. Double-side ewlb Packaging There is 3D ewlb approach with vertical interconnection, both sides of the reconstituted wafer will have isolation and metal layers, connected using conductive vias. It enables 3D SiP or 3D micro module. Key to the miniaturization of 3D SiP is the integration of the packaging steps as a functional part of the die and system solution. The PBGA replaced the lead frame by a printed circuit board (PCB) substrate, to which the die was electrically connected by wire bonding or flip chip technology, before covering with molding compound. ewlb takes the next step, eliminating the PCB, as well as the need to use wire-bonding or flip-chip bumps to establish electrical contacts. Without a PCB, the package is inherently thinner, without thinning the die when lower profiles are required. PoP and SOW takes this integration a step further, placing one package on top of another for greater integration complexity and interconnect density. ewlb makes it a very flexible choice. ewlb technology also offers procurement flexibility, lower cost of ownership, better total system and solution costs and faster time to market. Each step along the path from SiP to PoP (Package on package) to ewlb represents improvements in these two areas. Each of these packages fit unique niches. For example, if size is most important, then stacked die will yield smaller packages. Moving into PoP increases board space, but improves cost structure. ewlb, with its potential to dramatically improve cost effectiveness and reduce entire systems to the size of a Figure 8. Applications of double-side ewlb packaging; (a) Package-on-package (PoP) and (b) System- on-wafer (SOW). Figure 9. SEM micrograph of 3D vertical interconnection with prefilled via for Package-on-package (PoP) ewlb packaging; Figure 10. Package-on-packagpackaging with prefilled (PoP) ewlb via. 523

Fig.9 shows cross-section of the prefilled via approach for 3D vertical interconnection. It was fabricated with PCB/PWB technology and assembled using ewlb process. Fig. 10 shows ewlb PoP sample after top ewlb attachment on bottom ewlb. Top package has 8x8mm and bottom package size is 12x12mm2 with 3-die multichip. Package Level Reliability Results Table 1 shows the package level reliability result of each next generation 3D ewlb packages. They passed JEDEC (Joint Electron Device Engineering Council) standard package reliability test such as MSL (Moisture Sensitivity Level) 1 with Pb-free solder conditions. Test vehicles have 8x8mm Package with 5x5mm daisy-chain die and 0.5mm pitch. Total ball I/O is 192 and lead-free solder ball is used. All next generation ewlb packages successfully passed all industry standard package level reliability with ball shear test and OS(open-short) test. Table 1. Package Level Reliability Results of next generation ewlb packages. Condition Status MSL1 MSL1, 260C JEDEC-J-STD-020D Reflow (3x) - Pass Temperature Cycling (TC) after Precon -40C to 125C 1000x Pass JESD22-A104 HAST (w/o bias) after Precon 130C / 85% RH 96hrs Pass JESD22-A118 High Temperature Storage (HTS) 150C 1000h Pass JESD22-A103 BST after Multiple Reflow 260C Reflow 20x Pass * Tested by ball shear test and O/S test Board Level Reliability Results For drop reliability, next generation ewlb packages show good drop reliability as reported in 1 st gen ewlb. For 3D ewlb packages described above, all passed industry standard drop reliability tests (JEDEC. Fig. 12 shows Weibull plot of next generation ewlb packages as consolidated data. It shows quite comparable TCoB results even for 12x12mm ewlb. Currently there is more works on improving large size ewlb TCoB performance with design, structure, material, solder ball and process optimization. For thinned ewlb of 250um package body thickness (total package height ~0.5mm), it showed significant improvement of drop and TCoB performance. As shown in Fig12, thinned one has two time longer TCoB life time compared to standard thickness ewlb. It may due to flexibility of thinned die as shown in Fig.6. Figure 11. Weibull Plot of TCoB reliability of next generation ewlb Packages. Warpage Behavior with Temperature Profile Among the 3D technologies, Package-on-Package (PoP) is increasingly becoming mainstream due to its flexibility of combination and sourcing. The top package to be stacked using solder ball interconnects. For successful package on package stacking with high assembly yield, warpage of both the top and the bottom package are critical. If the warpage is too large, open solder joints may occur between the bottom package and motherboard, or between the bottom package and top package. Not only is the warpage at room temperature a concern for co-planarity measurement as a control, but warpage at solder reflow temperatures (up to 260C for leadfree solder) should also be considered since open solder joints occur during solder solidification. As a result, warpage control at both temperature extremes is critical for 3D PoP stacking. Figure 12. Comparison of warpage behavior of various package types; fcfbga, ewlb and EDS with temperature profile. 524 Themo-Moire technology used for measure package warpage with temperature profile. There is warpage

behaviour result with various package types, fcfbga, ewlb and EDS (Embedded Die Substrate). As shown in picture, ewlb showed almost flat during temperature profile and very stable warpage behaviour. But other packages showed serious warpage with direction change as shown in Fig. 12. Warpage variation of thin ewlb was less than 10μm in measured temperature range up to 260 o C. This stable warpage behaviour of ewlb is good for fine ball pitch SMT applications as well as PoP or 3D approaches. Further Wafer Level Integration with 3D ewlb for Heterogeneous Functionality There is a need for miniaturization at the IC, module (or sub-system), and system levels. At the IC level, scaling continues as it has over the last four decades according to Moore's Law. In addition, 3D chip stacking technology with through silicon vias (TSVs) has garnered a lot of attention recently due to its potential in improving the performance, form factor, cost, and reliability at the sub-system or module level [7-8]. There is still a great deal of research and development required to bring this hetero-integration technology to cost-effective implementation with the required reliability and performance needs. In addition to the module level, we must focus on performance, form factor, cost, and reliability of the entire system [9]. Figure 13. Total solutions for 3-D packaging with ewlb, MEMS and TSV technology. Although active and stacked ICs are a highly functional and important component of the overall system, they are only one set of components; many other components including other actives, passives, power systems, wiring, and connectors must be considered in a complete system. As a result, there is a need to think at module and system levels and this need is largely met by the current technology domain in the areas of through silicon vias (TSVs), 3D stacking, and wafer level packaging. There should be further study on integration, focusing on TSVs, 3D stacking and 3D ewlb with better electrical and thermal performance, greater system reliability, and reduced form factor and overall cost. It will go far beyond this to realize a truly seamless wafer level integrated 3D packaging module as shown in Fig. 14, that will incorporate aspects of 3D stacking, as well as Si package with embedded passive, actives in 3D ewlb packaging with TSV, flip chip, and micro-bump as well as 3-D WLPs. CONCLUSION Advanced packaging plays a crucial role in driving products with increased performance, low power, lower cost and smaller form factor. There are challenges associated in the application of cost effective materials and processes for various reliability requirements. The industry requires innovation in packaging technology and manufacturing to meet current demands and the ability to operate equipment in high volume with large throughput. ewlb technology is an enhancement to standard WLPs, allowing the next generation of a WLP platform due to its fanout capability. The benefits of standard fan-in WLPs such as low packaging/assembly cost, minimum dimensions and height as well as excellent electrical and thermal performance are true for ewlb as well. The ability to integrate passives like inductors, resistors and capacitors into the various thin film layers, active/passive devices into the mold compound and 3D vertical interconnection opens additional design possibilities for new Systems-in-Package (SiP) and 3D stacked packaging. Moreover, next generation, 3D ewlb technology provides more value-add in performance and promises to be a new packaging platform that can expand its application range to various types of devices as well as 3D TSV integration for true 3D SiP systems. As the world demand for portable and mobile electronics has accelerated, the need to make semiconductors smaller, faster, lighter and cheaper has never been greater. As witnessed by the dramatic evolution of cellular phones, product differentiation today is driven by ever-expanding functionality, feature sets, multi-functionality and faster communications. At the same time, consumers have made clear their desires for feature-rich products in compact form factors to enable maximum portability. Next generation 3D ewlb technology is successfully enabling semiconductor manufacturers to provide the smallest possible, highestperforming semiconductors. REFERENCES [1] M. Brunnbauer, et al., Embedded Wafer Level Ball Grid Array (ewlb), Proceedings of 8th Electronic Packaging Technology Conference, 10-12 Dec 2009, Singapore (2006) [2] Graham pitcher, Good things in small packages, Newelectronics, 23 June 2009, p18-19 (2009) [3] M. Brunnbauer, et al., Embedded Wafer Level Ball Grid Array (ewlb), Proceedings of 8th Electronic Packaging Technology Conference, 10-12 Dec 2009, Singapore (2006) [4] Maciej Wojnowski, Klaus Pressel, Grit Sommer, Mario Engl, Package Trends for Today s and Future mm-wave Applications, EuMIC 2008, 38th European Microwave Conference [5] Badakere GURUPRASAD, Yaojian LIN, Marimuthu Pandi CHELVAM, Seung Wook YOON, Kai LIU, Robert C. FRYE, Inductors from Wafer-level Package Process for High Performance RF Applications, Proceedings of 11th EPTC 2009, Singapore, Dec (2009) [6] M. Wojnowski1, M. Engl, B. Dehlink, G. Sommer, M. Brunnbauer, K. Pressel, and R. Weigel, A 77 GHz SiGe 525

Mixer in an Embedded Wafer Level BGA Package, Proceedings of 50th ECTC, p.290-296, May 2008, (2008) [7] Seung Wook YOON, Dae Wook YANG, Jae Hoon KOO, Meenakshi PADMANATHAN and Flynn CARSON, 3D TSV Processes and its Assembly/Packaging Technology, IEEE 3D Conference 2009, 28-30 September, 2009, San Francisco, CA, US (2009) [8] Yann Guillou, 3D Integration for wireless products; industrial perspective, Newsletter on 3D Packaging, Yole development, July 2009, p.2-4 (2009) [9] Ritwik Chatterjee and Rao R. Tummala, 3D Technology and Beyond: 3D All Silicon System Module, Advanced Packaging, http://ap.pennnet.com /display_article/339637/36/archi/none/indus/1/3d- Technology-and-Beyond:-3D-All-Silicon-System-Module/) 526