Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution

Similar documents
Evaluation of Cu Pillar Chemistries

Via Filling: Challenges for the Chemistry in the Plating Process

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

Material based challenge and study of 2.1, 2.5 and 3D integration

Chips Face-up Panelization Approach For Fan-out Packaging

Cu electroplating in advanced packaging

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

200mm Next Generation MEMS Technology update. Florent Ducrot

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

We fill the gaps! Increase of the integration density of PCBs by filling of blind microvias and through holes with electroplated copper.

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Electroplated Copper Filling of Through Holes on Varying Substrate Thickness

Improvement of Cu-Pillar Structure Using Advanced Plating Method

MEPTEC Semiconductor Packaging Technology Symposium

3DIC Integration with TSV Current Progress and Future Outlook

TSV Interposer Process Flow with IME 300mm Facilities

Plating HIGH ASPECT RATIO PCBs

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

A Method towards Infinite Bath Life for Acid Copper Electrolytes

Enabling Materials Technology for Multi-Die Integration

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

A Cost Analysis of RDL-first and Mold-first Fan-out Wafer Level Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Supplementary Materials for

Innovative Substrate Technologies in the Era of IoTs

Enabling Solid State Lighting through Advancements in MOCVD Technology

CHALLENGES FACING ELECTROCHEMICAL DEPOSITION IN WAFER LEVEL PACKAGING MAY THOMAS B. RICHARDSON, Ph.D.

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Fan-Out Packaging Technologies and Markets Jérôme Azémar

Electroplating Through Holes with Different Geometry -- A Novel and High Productivity Process for Through Hole Fill Plating

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

STORM S PROPRIETARY SILVER SOLUTION

Tin whisker mitigation. research into mechanisms & strategies: part 1: effect of plating methodologies.

TechCenters. From product development to best local service. Electronics Best local service atotech.com

EIPC Summer Conference Luxembourg Paper 4

HBLED packaging is becoming one of the new, high

Effect of impurity on Cu electromigration

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

TIN-BASED LEAD-FREE SOLDER BUMPS FOR FLIP-CHIP APPLICATION. S. Yaakup, H. S. Zakaria, M. A. Hashim and A. Isnin

Figure 1 Embedded Active and Passive Module (EMAP) Cross-section Schematic

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Soldering Immersion Tin

Close supply chain collaboration enables easy implementation of chip embedded power SiP

WS-575-C-RT. Halogen-Free Ball-Attach Flux PRODUCT DATA SHEET

High Throw Electroless Copper Enabling new Opportunities for IC Substrates and HDI Manufacturing

THIN IMMERSION TIN USING ORGANIC METALS

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

The Effects of Board Design on Electroplated Copper Filled Thermal Vias for Heat Management

3D technologies for integration of MEMS

Challenges for Embedded Device Technologies for Package Level Integration

ELECTROPLATED COPPER FILLING OF THROUGH HOLES INFLUENCE OF HOLE GEOMETRY

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China

Developments in low-temperature metal-based packaging

An Innovative High Throughput Thermal Compression Bonding Process

3D-WLCSP Package Technology: Processing and Reliability Characterization

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics

Fluxless soldering using Electron Attachment (EA) Technology

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

5. Packaging Technologies Trends

CHAPTER 3 DEVELOPMENT OF ELECTROPLATING SETUP FOR PLATING ABS AND POLYAMIDES

Electrodeposition of Magnetic Materials

Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers

12 Technical Paper. Key words: PPR electroplating, via fill, thermal management, through hole fill

Impact of Leveler Molecular Weight and Concentration on Damascene Copper Electroplating

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Flip Chip Bump Electromigration Reliability: A comparison of Cu Pillar, High Pb, SnAg, and SnPb Bump Structures

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Cu/low κ. Voids, Pits, and Copper

S/C Packaging Assembly Challenges Using Organic Substrate Technology

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan

/15/$ IEEE Electronic Components & Technology Conference

Amorphous and Polycrystalline Thin-Film Transistors

Zn Ni alloy A probable replacement to Cadmium coating R Mani Sravani, Meenu Srivastava Surface Engineering Division, CSIR NAL, Bangalore

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

Copper Interconnect Technology

Hot Chips: Stacking Tutorial

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes

Lam Research Corporation

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

SEMI Networking Day 2013 Rudolph Corporate Introduction

Synthesis and characterization of pulsed electrodeposited Cu-Y 2 O 3 coating

FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS

TC-3040 Thermally Conductive Gel. Product Briefing for 3DInCites Award Nomination

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services

Transcription:

Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution Cassandra Melvin Global Product Manager, Advanced Packaging

Outline 1. Global megatrend IoT 2. Fan-out wafer-level packaging 3. Challenges for the electroplating process 4. Optimized Cu plating for pillar 5. MultiPlate s key technology features 6. Technical summary

Global megatrend IoT Fan-out wafer-level packaging

Global megatrend: Internet of Things (IoT) PAST Today Future? Things have changed! What will be next? Home Entertainment Comfort Industry Energy Efficiency SMART Auto Safety Environment City Security Traffic Connected TVs Wearable Smartphones Me Health Wellness

IoT in Numbers 2015 2020 2050 Source: Gartner 2015 McKinsey 2015 World population 7.2 billion 7.8 billion 9.7 billion Connected Devices 25 billion 50 billion 100+ billion Next generation Sensors 10 billion 100+ billion mobile devices 1+ trillion require new packaging Connected technologies Sensors per person 1.4 12 130+

Fan-out packaging: growth and manufacturers 18% 9% 23% $891M 2017 50% 1st Qtr Source: Prismark 300 mm wafers 2015 2016 2017 2018 2019 2020 Key packaging technology for next generation mobile devices Total wafer output M/a 0.100 Source: 0.700 Yole 1.300 1.850 2.45 2.900 RDL runs M/a 0.200 2.400 4.292 5.812 7.442 8.500 ewlb and similar technologies used in automotive applications Pillar runs M/a 0 0.500 0.900 1.200 1.550 1.600 Tall pillar runs M/a 0 0.500 0.900 1.200 1.55 1.600

FLIP CHIP Fan-out packaging: key drivers Improved thermal and electrical performance Higher I/O count with more RDLs More functionality via integration Smaller form factor FAN-OUT BENEFITS Performance Functionality Size

Next generation challenges for ECD Cu

Next generation challenges for ECD Cu Requirements for Cu Pillar High current density plating >20 ASD of thick Cu >200 µm Plating high aspect ratios, up to 4:1 for tall pillar Very low organic co-deposition for minimized voiding Low non uniformity for high yield Requirements for Cu RDL Fine line plating of sub 10 µm L/S Conformal RDL plating and via filling with one process Low internal stress to reduce warpage Very low organic co-deposition for minimized voiding

Optimized Cu plating for pillar

Challenge: high speed plating of thick Cu Plating results for 215x200 µm Cu pillar Customer A Target Atotech Sampling Cu Thickness 215 µm WIW NU 4 % WID COP 9 µm WID NU 2 % TTV 30 µm Process Step 1 Step 2 Step 3 Plating time Diameter: 200 µm Total time 48.25 min Dep rate Temp Resist height: 240 µm Plating height: 215 µm 4.4 µm/min RT 4.4 µm per minute (20 ASD) proven for 215x200 µm pillar Faster deposition, better uniformity, better co-planarity than customer POR

Challenge: high speed plating of thick Cu Excellent uniformity for 220x200 µm Cu pillar Customer B Target Atotech Sampling Cu Thickness 220µm 245 µm WIW NU < 5% 3.3 % WID COP < 25 µm 12 µm WID NU < 5 % 2.5 % TTV < 40 µm 39 µm TIR < 15 µm 7 µm Process Step 1 Step 2 Step 3 Step 4 Plating time 120 sec 720 sec 1810 sec 642 sec Total time 55 min Dep rate 4.4 µm/min Temp 25 C 4.4 µm per minute (20 ASD) proven for 220x200 µm pillar Superior uniformity performance compared to customer POR

Challenge: high speed plating of high AR thick Cu Diffusion versus convection plating speed in high AR structures Low AR tall pillar Diameter: 200 µm Resist height: 240 µm Plating height: 215 µm High AR tall pillar Diameter: 45 µm Resist height: 200 µm Plating height: 180 µm Flow simulation MultiPlate @ 100% velocity Comparison: Diffusion speed = green Convection speed = red

Challenge: high speed plating of high AR thick Cu Promising results on 180x45 µm tall Cu pillar; development still on-going Customer C Target Atotech Sampling, Current Status Cu Thickness 180 µm 165 µm WIW NU < 5% 9 % WID COP < 10 µm 7 µm TTV 50 µm 50 µm 10 Step Recipe Process High Cu VMS (70/70/50) Total time 43 min Dep rate 4.4 µm/min Temp R&D status for 4.4 µm per minute (20 ASD) on 180x45 µm pillar Sampling showed promising results plating high AR tall pillar in MultiPlate

600 1000 Challenge: very low organic co-deposition Results show lower co-deposition at significantly higher current densities MultiPlate + Spherolyte Cu MP Standard Tool + Electrolyte C.D. [ASD] 25 Depth [nm] Elements [ppm] C O S Cl N Total [ppm] 73 29 7 3 250 362 40 43 29 7 18 372 469 5 148 83 43 119 1068 1461 10 221 86 68 222 1576 2173 ToF-SIMS measurement shows 75% lower co-deposition at 4-5X faster plating Faster plating leads to higher throughput, lower voiding to better reliability

Challenge: very low organic co-deposition Influence of organic co-deposition after thermal annealing; 30 min at 400 C Low organic co-deposition Standard organic co-deposition ToF-SIMS: total organic ~ 400 ppm ToF-SIMS: total organic ~2000 ppm ToF-SIMS measurement shows very low co-deposition Organic co-deposition results in microvoids that impact electrical performance

Challenge: very low organic co-deposition Voiding performance after storage test; post reflow, T = 150 C, t = 250h 7 ASD in MultiPlate 10 ASD in MultiPlate 25 ASD in MultiPlate 10 ASD in standard tool & process Consistent low voiding at higher current densities Faster plating leads to higher throughput, lower voiding to better reliability

Challenge: very low organic co-deposition Two additive system and reverse pulse plating enables pure Cu deposits Optimize the profile shape with physical parameters, not strong levelers Absence of strong levelers leads to less co-deposition and fewer voids

Challenge: low non uniformity for high yield Segmented anodes and programmable agitation enable substrate movement Ave Pillar Height 18.8 µm Ave WIP 4.26% Ave WID 1.23% WIW 8.4% Tool without agitation technology Ave Pillar Height 19.4 µm Ave WIP 3.3% Ave WID 0.8% WIW 3.5% Tool with programmable agitation Substrate movement during plating ensures optimized agitation and flow Resulting in improved uniformity and superior filling of high AR features

Challenge: low non uniformity for high yield Non uniformity results for 50 µm pillar, 300 mm wafer Measurement* Position Wafer Die Tool Chemistry C.D. [ASD] H avg [µm] WIW [%] WID avg [%] WIP avg [%] Non uniformity criteria [%] MultiPlate Atotech RP 20 19.4 3.5 0.8 3.3 Fountain Plater Standard DC 20 19.1 8.0 4.5 2.2 WIW 5 WID 5 Fountain Plater Type B UF2 DC 20 18.1 22.0 3.5 1.5 WIP 5 Significantly better WIW and WID non uniformity at same current density Better uniformity performance enables higher reliability and yield * Measurement device: confocal laser scanning microscope

MultiPlate s key technology features

MultiPlate Enabling features for next generation technologies High Speed Plating Thick Metal Plating Best in Class Uniformity High Purity Metal Deposition Excellent Voiding Performance Double Side Plating Capability

MultiPlate Overview of key technology features Advanced fluid flow delivery Optimal uniformity at high deposition rate Thick and pure metal depositions improved voiding performance Free programmable agitation Excellent uniformity at highest plating speeds Thick and pure metal depositions Improved voiding performance PATENT PENDING Segmented, stable anodes Adjustable current distribution for: Best uniformity performance Long term process stability Iron redox auxiliary Longer, more stable bath life Lower additive consumption Better voiding results Longer anode life PATENTED Pulse plating capability High speed plating Pure and thick Cu depositions Improved voiding performance Adjustable pillar shape Modular design Easy maintenance Quick access to subunits Easy extendibility and customization

Technical summary

Technical summary MultiPlate delivers clear technical advantages Optimized process for high speed plating of high AR tall pillars Current densities >20 ASD for >200 µm Cu pillars with 4:1 AR Reverse pulse plating and 2 additive system enable: 75% lower organic co-deposition at 4-5x faster plating for optimized reliability and throughput Significantly lower WIW/WID nonuniformity at higher current densities as compared to industry standard PORs

Technical summary MultiPlate delivers clear technical advantages Plating parameters enable adjustable pillar profile using physical parameters, not strong levelers Parameter A Parameter B Parameter C Absence of strong levelers leads to less co-deposition and fewer voids Freely programmable agitation enables customizable substrate movement during plating Enables optimized agitation and flow, resulting in lower non-uniformity MultiPlate enables pure and uniform Cu deposition for high AR tall pillar

CONTACT: Thank you! Cassandra Melvin Atotech Deutschland GmbH Erasmusstraße 20 10553 Berlin Germany + 49 (0) 30 349 85 0 cassandra.melvin@atotech.com www.atotech.com