Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

Similar documents
3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

Panel Discussion: Advanced Packaging

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

Innovative Substrate Technologies in the Era of IoTs

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Roundtable 3DIC & TSV: Ready for HVM? European 3D TSV Summit

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Chip Packaging for Wearables Choosing the Lowest Cost Package

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

Innovative Advanced Wafer Level Packaging with Smart Manufacturing Solutions YOON Seung Wook, Ph.D MBA

Semiconductor Packaging and Assembly 2002 Review and Outlook

Package Solutions and Innovations

Glass Carrier for Fan Out Panel Level Package

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Recent Advances in Die Attach Film

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Encapsulation Selection, Characterization and Reliability for Fine Pitch BGA (fpbga )

Alternative Approaches to 3-Dimensional Packaging and Interconnection

Hot Chips: Stacking Tutorial

23 rd ASEMEP National Technical Symposium

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

3D Wirebondless IGBT Module for High Power Applications Dr. Ziyang GAO Jun. 20, 2014

Graser User Conference Only

RF System in Packages using Integrated Passive Devices

Packaging Substrate Workshop Wrap Up. Bob Pfahl, inemi

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

Challenges for Embedded Device Technologies for Package Level Integration

Chips Face-up Panelization Approach For Fan-out Packaging

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

New Technology for High-Density LSI Mounting in Consumer Products

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Failure Modes in Wire bonded and Flip Chip Packages

3D-WLCSP Package Technology: Processing and Reliability Characterization

Thales vision & needs in advanced packaging for high end applications

Opportuni)es to Move Up the Value Chain an OSAT Perspec)ve. Steve Ziolkowski ASE Group October 8, 2014

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Development of Next-Generation ewlb Packaging

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Thin Wafers Bonding & Processing

FOR SEMICONDUCTORS 2007 EDITION

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services

Fanout Flipchip ewlb (embedded Wafer Level Ball Grid Array) Technology as 2.5D Packaging Solution

Next Generation ewlb (embedded Wafer Level BGA) Packaging

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

TSV CHIP STACKING MEETS PRODUCTIVITY

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

Design for Flip-Chip and Chip-Size Package Technology

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

Innovative Integration Solutions for SiP Packages Using Fan-Out Wafer Level ewlb Technology

Design and Assembly Process Implementation of 3D Components

Semiconductor Packaging and Test A supply chain challenge

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

RF Integrated Passive Devices: Reverse Engineering & Costing Overview

Investor presentation 24 April 2013

Development of System in Package

Warpage Mechanism of Thin Embedded LSI Packages

Chapter 3 Silicon Device Fabrication Technology

Flip Chip - Integrated In A Standard SMT Process

1 Thin-film applications to microelectronic technology

Copper Wire Packaging Reliability for Automotive and High Voltage

Forecast of Used Equipment Market Based on Demand & Supply

Non-Hermetic Packaging of RF Multi-Chip Modules

TGV and Integrated Electronics

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

A New Company & Approach In MEMS Semiconductor Materials & Engineering Services

Plasma for Underfill Process in Flip Chip Packaging

CONTENTS INTRODUCTION. Underfills... 11

Advantages of using LCP based pre-molded leadframe packages for RF & MEMS applications

Selection and Application of Board Level Underfill Materials

Test Flow for Advanced Packages (2.5D/SLIM/3D)

2017 Annual Results Announcement. March 1, 2018

Mobile Device Passive Integration from Wafer Process

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

Needham Growth Conference. January 2007 NYC

Freescale Semiconductor Tape Ball Grid Array (TBGA) Overview

Embedded Cooling Solutions for 3D Packaging

MTS Semiconductor Solution

Forschung für die Elektroniksysteme von morgen

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

Innovative MID Plating Solutions

Copper Wire Bonding Technology and Challenges

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

Behaviors of QFN Packages on a Leadframe Strip

Development of Exposed Die Large Body to Die Size Ratio Wafer Level Package Technology

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Quality Starts With Me

Transcription:

Packaging Materials Market Trends, Issues and Opportunities Dan Tracy Sr. Director Industry Research SEMI 8 th December 2015 Outline Market Size Industry Trends Material Segment Trends China Summary 1

Packaging Materials- ~45% to 50% of the total material spend Transition from Au wire saving the industry~$2.5b/year in metal costs Source: SEMI November 2015 Economic Trends Weakening Currency, especially Yen & Euro, will dampen 2015 industry figures when report in US$. With no currency impact, the semiconductor equipment market would be up +5% to +6% in 2015 vs. 2014 instead of the -1% decline US$830M 4 2

Regional Packaging Materials Markets SEA/ROW 25% Taiwan 21% Americas 3% Korea 13% China 22% Europe 3% Japan 13% Region 2015F $US B 2016F $US B % Change China $4.23 $4.4141 4% Europe 0.63 0.65 3% Japan 2.48 2.48 0% Korea 2.55 2.57 1% Americas 0.59 0.59 0% Taiwan 4.18 4.29 3% SEA/ROW 490 4.90 501 5.01 2% Total $19.6 $20.0 2% 2015F = $19.6 billion Totals may not add due to rounding Source: SEMI November 2015 Industry Trends 3

Thinner, Smaller and More Complicated Source: Amkor Source: Freescale Source: Intel Source: Siliconware Source: ASE 4

Adding Differentiation to System-in-Package (SiP) Toolbox Partner with Inotera: Silicon Interposer Bumping / Interconnection 25/3D&DieStacking 2.5/3D Die MEMS HD SMT Shielding / Antenna Memory Molding IPD AFE APU / MPU EPS MEMS Partner with TDK: Embedded Substrate (SESUB*) Passives / IPD Fan Out Fan In Embedded Technology Flex & Mechanical Assembly Wafer Level Packaging * SESUB is a trademark or registered trademark of TDK Corporation. All other trademarks not owned by ASE that appear in this document are the property of their respective owners, who may or may not be affiliated with, connected to, or sponsored by ASE. FC and WLP Growth Driven by Mobile Products 2014 Total ICs = 223.7 billion units 2019 Total ICs = 308.2 billion units FC and WLP 14% FC and WLP 19% Includes only integrated circuits as defined by IC Insights. Excluded are devices such as image sensors, MOSFETs, IPDs, and filters. Source: TechSearch International, Inc. and IC Insights. Flip chip (solder, gold, and Cu pillar) and wafer level packages (WLPs) Growth in WLP market driven by mobile products (smartphones and tablets) Expansion in flip chip market driven by modules in smartphones CAPEX expansion Continued spending by OSATs and Foundries on advanced packaging Capacity for 300mm bumping (especially Cu pillar) and wafer level packaging 5

Fan-Out Wafer Level Packaging Maintain same WLP size with die shrink Increase I/O Thinner than flip chip pkg Heterogeneous integration/sip Fine L/S (10/10μm), w/ roadmaps for ( 5/5μm) Emergence of Outsource Packaging Today: ~51% of packaging revenues Leading new packaging development Cu pillar, FO-WLP, SiP, and more 2005: ~40% of packaging revenues Fabless companies grow; IDMs shift to outsourcing 1995: ~18% of packaging revenues Emergence of leading Taiwanese and Korean OSAT companies 1985: ~5% of packaging revenues Manufacturing focus in the Philippines PDIP & Transistors Source: Gartner Source: ASE Source: Siliconware 6

Material Segment Trends TSMC to expand IC packaging efforts (EE Times 2011) GLOBALFOUNDRIES Demonstrates Collaborative Model for Next Generation Chip Packaging Technologies (2013) SMIC and JCET Establish a Joint Venture to Build China'sLocalIC Manufacturing Supply Chain (PRNewswire 2014) TSMC Has A New Growth Driver, In Packaging: Morgan Stanley (2015) TSMC will enter FanOut Business (Yole Developpement (2015) Packaging Material Market Trends CSP laminate substrates, CSP leadframes, and WLP are driven by mobile computing and communications Flip chip and copper pillar continue to expand the market for underfill materials. Need more development for WLP dielectrics used in multi-layer structures Mold compounds- warpage control/package reliability (MSL1); withstand high flexing for wearable applications QFN- cost optimization through design (including strip size) and reduced plating area (also improves MSL); higher h lead counts (routable); improved power dissipation 7

Challenge with Smaller and Thinner Laminate Substrates ~$8B market Stable supply base Good demand/supply balance in recent years Flip chip substrate suppliers Bump pitch trends drive finer features and higher substrate prices Previously, focused on MPU and graphics applications Now, the focus is on mobile applications- growth market but more cost sensitive and shorter development cycles Laminate CSP could be impacted by growth in FO-WLP 8

Wafer Level Dielectrics ~$100M market currently Requirements for new materials include: Low moisture absorption (reduced outgassing at elevated temperatures) t Low stress (to match the CTE of the chip) and/or low modulus (for less wafer bow) Low temperature cure (200 to 250 C) Lower/no outgassing Lower dielectric constant Higher resolution at thicker layers Wide process windows Enhanced board-level reliability performance Desire for standard material for multi-layer applications Underfill Market Global market of $220M in 2014 Many suppliers (as many as 30+) Continued consolidation likely, still new players entering market No-flow Applied prior to chip placement, either on the wafer or substrate Film-based and Wafer applied Interest in the use of mold compounds as underfill Increased use of board-level underfill or edge underfill for CSP/BGA parts and some WLPs Apple underfills almost everything above a certain size Micromax in India and many handset makers in China have almost no underfill 9

Mold Compounds ~$1.2B market size Stable supply base, very strong position maintained by Japanese suppliers Focus on warpage control, CTE properties, low moisture absorption, and low Cl - Molded Underfill (MUF) for thin Cu pillar flip chip packages High thermal conductive and high voltage applications emerging Need for flexible mold compound for wearable applications Supplier/material often defined by customer so OSAT can t switch Leadframes ~$3B market Flat to declining revenues going forward Low to moderate unit growth overall QFN growing at ~12% CAGR (2014-2019) Other IC leadframes at -1% CAGR 30+ suppliers worldwide (with varying capabilities for stamping, etching, and plating) Some consolidation and re-structuring of the supplier base Still a significant number of companies with a regional focus (e.g. Korea and China) Need suppliers with capability for pre-plated leadframes etching and surface treatments for adhesion promotion 10

On-Going Leadframe Advancements Routable QFN Type Wirebond, flip chip, exposed pad (thermal), higher lead counts Employ etch back of copper strip to increase number of rows and I/O in a QFN-type leadframe Use leadframe and mold compound to create a low cost package Can provide lower cost package vs. laminate or WLP MIS (JCET, QDOS), HDL (QPL), others Routable QFN type supply chain is developing at the moment as process improvements continue for HVM China 11

China: Industry Targets Packaging in China 110+ companies with 150 or more A&P plants 7 of top 10 IDMs (per IC Insight 2014 ranking) 9 of top 10 OSATS (per Gartner 2014 ranking) China has ~27% of worldwide assembly & test manufacturing floor space, ranking first in global share (PwC) Gartner 2014 OSAT Ranking #7 Jiangsu Changjiang Electronics Technology (JCET) #12 Tianshui Huatian Microelectronics #14 Nantong Fujitsu Microelectronics JCET acquired #4 STATS ChipPAC Tianshui Huatian acquired Flipchip Technologies Nantong Fujitsu and AMD JV 12

China Packaging Materials Market Source: SEMI China: Industry Targets 13

China Supplier Share of Packaging Materials Segment 2009 2010 2011 2012 2013 2014 Leadframes ($M) ~$150 ~$180 ~$230 ~$280 ~$290 ~$340 % Share of World Total 5% 5% 7% 9% 9% 11% Bonding Wire (B m) 0.3 0.4 0.6 0.9 1.2 1.6 % Share of World Total <2% 2% 3% 5% 7% 8% Mold Compounds ($M) ~$16 ~$25 ~$29 ~$35 ~$40 $40-$45 % Share of World Total <2% 2% 2% <3% 3% 4% Small share currently on a global scale Current volume geared towards traditional/legacy type packaging in China New(er) suppliers in plating chemicals and liquid encapsulant (mainly for LED) Summary 14

Summary Packaging materials $20B market (45% to 50% of the total semiconductor materials market) Mobile applications driving packaging development New package form factors smaller, thinner, and more complex Different cycle time and cost considerations compared to the past PC-driven era Advanced packaging Strongest unit growth OSATS prominent and leading role in package development Need for new materials FO-WLP will prove disruptive for laminate substrate suppliers China has fast emerged as a key market for A&P Fast developing infrastructure including local material suppliers 15