High Level Tools for Low-Power ASIC design

Size: px
Start display at page:

Download "High Level Tools for Low-Power ASIC design"

Transcription

1 High Level Tools for Low-Power ASIC design Arne Schulz OFFIS Research Institute, Germany 1

2 Overview introduction high level power estimation µprocessors ASICs tool overview µprocessors ASICs conclusion future work 2

3 Moores Law [Rab99] beating Moores Law 3

4 Trade-off Accuracy Abstraction level System architecture Behaviour Registertransfer e.g. SimpleScalar-based (some 100 M CPU instructions) SPICE (some 100 pattern on small circuit) Gate Transistor Simulation time 4

5 traditional design flow C/C++ program architecture definition memory optimization datapath optimization RT-level design synthesis design analysis, power estimation gain: 75% time: months gain: 30% time: weeks Standard design flow gain: 15% time: days Why High-Level? specification level impact analysis. first time right architecture. up to 75% power reduction in minutes. avoids design iteration down to RT or gates. saves up to months of design time. gain: 30% time: minutes no high-level design flow C/C++ program analysis & optimizaton yes ok? RT-level design synthesis final analysis ok? Standard design flow no gain: 75% time: minutes no gain: 15% time: days ok? no design backend design backend 5

6 Embedded Approach trend to software development: faster than hardware development cheaper than hardware development easier than hardware development updates/fixes are possible (e.g. firmware-updates) off the shelf CPUs high-level power estimation for µ-processors high-level power estimation for ASIC 6

7 Example SW Estimation Tool AccuPower overview: high level microprocessor power estimation tool contains micro architectural simulators library of VLSI layouts power estimator based on SimpleScalar (MIPS-based ISA-simulator) vendor: academic 7

8 Algorithmic Level Estimation two different approaches: quick synthesis to RTL net list complex and slow inaccurate if synthesis result does not get close to final architecture internal information about applied synthesis tool necessary complexity analysis of control data flow graph (CDFG) representation only transformation of algorithm into CDFG needed (compilation) fast but less accurate example: register power is computed by counting number of CDFG edges between operations 8

9 Example: Quick Synthesis Approach HyPE: Overview Hybrid Power Estimation uses behavioural simulation and macro models for data path components three phases of estimation: - high level simulation - calculation of data path modes - statistical power estimation very high performance vendor: academic 9

10 Less Time to Low Power minutes 90% Specification days weeks Design Time Power gain 50% 20% System Architecture Design RT-Level Synthesis Gate-Level? ORINOCO commercial estimation tools available 10

11 ORINOCO Principle Source Source Code Code C/C++ C/C++ SystemC SystemC Activity Activity Data Data Created Created by by simulation/execution simulation/execution using using real real world world data data input. input. Model Library Created by: ORINOCO RIO and ORINOCO BEACH ORINOCO DALE Constraints Voltage Frequency Timing (Critical Path Length) Area (Number of resources) Power Power Clock Clock Interconnect Interconnect Controller Controller Functional Functional Units Units Register Register I/O I/O Memory Memory Architecture Architecture Allocation Allocation Binding Binding Scheduling Scheduling Floorplan Floorplan 11

12 Example Estimation Result 12

13 Conclusion high-level power estimation: biggest gain is possible academic approaches for high-level power optimisation leading edge of current research embedded software power estimation still research topic ASIC power estimation on lower levels: commercial tools available (eg. Synopsys, Sequence, Cadence) for higher levels first available tool: ORINOCO from ChipVision 13

14 Future Work research at OFFIS (SAO-group) new metrics (interconnect, area, timing) improved models (IP, leakage) software power estimation (µ-processors, partitioning) commercial exploitation at ChipVision Design Systems 14

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems Frank Schirrmeister ChipVision Design Systems franks@chipvision.com 1. Introduction 1.1. Motivation Well, it happened again. Just when you were about to beat the high score of your favorite game your portable

More information

TLM-Driven Design and Verification Time For a Methodology Shift

TLM-Driven Design and Verification Time For a Methodology Shift TLM-Driven Design and Time For a Methodology Shift By Jack Erickson, Cadence Design Systems, Inc. Transaction level modeling (TLM) is gaining favor over register-transfer level () for design components

More information

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

VHDL Introduction. EL 310 Erkay Savaş Sabancı University VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored

More information

Digital Design Methodology (Revisited)

Digital Design Methodology (Revisited) Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec

More information

Real-Time and Embedded Systems

Real-Time and Embedded Systems Real-Time and Embedded Systems (CUGS Course) Petru Eles and Zebo Peng Embedded Systems Laboratory (ESLAB) Linköping University www.ida.liu.se/~zebpe/teaching/rtes Course Organization Module I System-Level

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs HX5000 Design Flow and Infrastructure Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs Overview Radiation-hardened application specific integrated circuits (ASICs) can now achieve extremely

More information

ADVANCED VLSI COURSE IN PHYSICAL DESIGN

ADVANCED VLSI COURSE IN PHYSICAL DESIGN ADVANCED VLSI COURSE IN PHYSICAL DESIGN Course covers all advanced topics as prescribed by industry requirements Address: #11, 1st Floor, JCR Tower, Anantha Ram Reddy Layout, Behind Vinyaka Skoda Showroom,

More information

TAGUNGSBAND -2- MIKROELEKTRONIK 2003 Figure 1: Shannon beats Moore DESIGN PRODUCTIVITY GAP Another additionally problematic point for the design proce

TAGUNGSBAND -2- MIKROELEKTRONIK 2003 Figure 1: Shannon beats Moore DESIGN PRODUCTIVITY GAP Another additionally problematic point for the design proce TAGUNGSBAND -1- MIKROELEKTRONIK 2003 DESIGN METHODOLOGY FOR SIGNAL PROCESSING IN WIRELESS SYSTEMS M. Holzer, P. Belanović, B. Knerr, M. Rupp Christian-Doppler Pilot Laboratory for Design Methodology of

More information

Software Performance Estimation in MPSoC Design

Software Performance Estimation in MPSoC Design Software Performance Estimation in MPSoC Design Marcio Seiji Oyamada 1,2, Flávio Rech Wagner 1, Wander Cesario 2, Marius Bonaciu 2, Ahmed Jerraya 2 UFRGS 1 Instituto de Informática Porto Alegre, Brazil

More information

High-Level Power Estimation

High-Level Power Estimation High-Level Power Estimation Paul Landman DSP R&D Center Texas Instruments Dallas, Texas Abstract The growing demand for portable electronic devices has led to an increased emphasis on power consumption

More information

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster Virtualizer ``Overview ``The Impact of ``What are Virtual Prototypes? ``Competitive Electronic Products Faster ``Use Virtual Prototyping from Specification to Deployment ``Virtualizer Technical Specification

More information

5. Quartus II Support for HardCopy Stratix Devices

5. Quartus II Support for HardCopy Stratix Devices 5. Quartus II Support for HardCopy Stratix Devices H51014-3.4 Introduction Altera HardCopy devices provide a comprehensive alternative to ASICs. HardCopy structured ASICs offer a complete solution from

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

Digital VLSI Design. Lecture 1: Introduction

Digital VLSI Design. Lecture 1: Introduction Digital VLSI Design Lecture 1: Introduction Semester A, 2018-19 Lecturer: Dr. Adam Teman 20 October 2018 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied

More information

High Level Synthesis with Catapult 8.0. Richard Langridge European AE Manager 21 st January 2015

High Level Synthesis with Catapult 8.0. Richard Langridge European AE Manager 21 st January 2015 High Level Synthesis with Catapult 8.0 Richard Langridge European AE Manager 21 st January 2015 Calypto Overview Background Founded in 2002 SLEC released 2005 & PowerPro 2006 Acquired Mentor s Catapult

More information

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools.

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools. Higher National Unit Specification General information for centres Unit code: DG3P 35 Unit purpose: This Unit is designed to enable candidates to gain some knowledge and understanding of the architecture

More information

Introduction to. Hybrid Systems Analog+Digital analog. Hybrid. Reactive Systems. Definition for Embedded Systems. embedded embedded real-time

Introduction to. Hybrid Systems Analog+Digital analog. Hybrid. Reactive Systems. Definition for Embedded Systems. embedded embedded real-time Definition for Embedded Systems Introduction to Embedded d Computing Embedded systems (ES) = information processing systems embedded into a larger product keyword: a specific function, embedded within

More information

11. Timing Closure in HardCopy Devices

11. Timing Closure in HardCopy Devices 11. Timing Closure in HardCopy Devices qii52010-2.0 Introduction Timing analysis is performed on an FPGA design to determine that the design s performance meets the required timing goals. This analysis

More information

Physical Level Design using Synopsys

Physical Level Design using Synopsys 1 Physical Level Design using Synopsys Jamie Bernard, Student MS CpE George Mason University Abstract Very-Large-Scale-Integration (VLSI) of digital systems is the foundation of electronic applications

More information

HETEROGENEOUS SYSTEM ARCHITECTURE: FROM THE HPC USAGE PERSPECTIVE

HETEROGENEOUS SYSTEM ARCHITECTURE: FROM THE HPC USAGE PERSPECTIVE HETEROGENEOUS SYSTEM ARCHITECTURE: FROM THE HPC USAGE PERSPECTIVE Haibo Xie, Ph.D. Chief HSA Evangelist AMD China AGENDA: GPGPU in HPC, what are the challenges Introducing Heterogeneous System Architecture

More information

Altera s Roadmap. Looking Forward Altera Corporation

Altera s Roadmap. Looking Forward Altera Corporation Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera

More information

Designing for Reuse of Configurable Logic

Designing for Reuse of Configurable Logic Designing for Reuse of Configurable Logic Joseph P. Elm July 2005 TECHNICAL REPORT CMU/SEI-2005-TR-016 ESC-TR-2005-016 Pittsburgh, PA 15213-3890 Designing for Reuse of Configurable Logic CMU/SEI-2005-TR-016

More information

Closing the gap between ASIC & Custom

Closing the gap between ASIC & Custom Closing the gap between ASIC & Custom Chapters 9, 10 and 11 Presented by Raimo Mäkelä Content of presentation Chapter 9 Faster and Lower Power Cell-Based Design with Transistor-Level Cell Sizing Chapter

More information

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT AN INTEL COMPANY WIND RIVER SIMICS Electronic systems are becoming increasingly complex, with more hardware, more software, and more connectivity. Current systems are software intensive, often containing

More information

Distributed Model Based Development for Car Electronics

Distributed Model Based Development for Car Electronics Distributed Model Based Development for Car Electronics Outline Background Methodology Paradigm Shift Background Automotive Supply Chain Spider Web Tier2 Tier1 CAR Maker Distributed Car Systems Architectures

More information

Startups Accelerating Going to Production With Altium

Startups Accelerating Going to Production With Altium Startups Accelerating Going to Production With Altium Richard Marshall CEO Xitex Ltd Munich, Germany, October 24-25 th 2017 Startups - Accelerating going to production with Altium Startups Crossing the

More information

High Volume Signal and Power Integrity Design for ASICs

High Volume Signal and Power Integrity Design for ASICs High Volume Signal and Power Integrity Design for ASICs Brian Young brian.young@ti.com Agenda Background SI Methodology Outline SI Numerical Example PI Methodology Outline PI Numerical Example Summary

More information

Design SoC using FPGA-based IP An FGPA-Based ESL Methodology

Design SoC using FPGA-based IP An FGPA-Based ESL Methodology White Paper SoC using - IP An FGPA-Based ESL Methodology June 2005 S2C Inc. 1754 Technology Drive, Suite 6 20 San Jose, CA 95110, USA Tel: +1 408 213 8818 Fax: +1 408 549 9948 www.s2cinc.com Introduction

More information

EE-379 Embedded Systems and Applications Introduction

EE-379 Embedded Systems and Applications Introduction EE-379 Embedded Systems and Applications Introduction Cristinel Ababei Department of Electrical Engineering, University at Buffalo Spring 2013 Note: This course is offered as EE 459/500 in Spring 2013

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

4. Back-End Timing Closure for HardCopy Series Devices

4. Back-End Timing Closure for HardCopy Series Devices 4. Back-End Timing Closure for HardCopy Series Devices H51013-2.4 Introduction Back-end implementation of HardCopy series devices meet design requirements through a timing closure process similar to the

More information

SRC Research Needs in Logic and Physical Design and Analysis. August 2002

SRC Research Needs in Logic and Physical Design and Analysis. August 2002 SRC Research Needs in Logic and Physical Design and Analysis August 2002 I. Prologue The research needs document for physical design was first created in draft copy in 1997. This was in response to requests

More information

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on?

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on? DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on? Jason Cong Chancellor s s Professor UCLA Computer Science Department cong@cs.ucla.edu Chief Technology Advisor AutoESL Design

More information

Frontend flow. Backend flow

Frontend flow. Backend flow This section intends to document the steps taken in the first stage of this dissertation. In order to understand the design flow used by Synopsys HARDIP team, a PLL design was made, covering all the stages

More information

Obstacle-aware Clock-tree Shaping during Placement

Obstacle-aware Clock-tree Shaping during Placement Obstacle-aware Clock-tree Shaping during Placement Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of Michigan 1 Outline Motivation and challenges Limitations of existing techniques Optimization

More information

Ibrahim Delibalta , ;

Ibrahim Delibalta , ; Ibrahim Delibalta +1 510 514 9383, +90 553 948 5300; idelibalta@gmail.com Profile 24 years of experience in design, management and delivery of complex products and projects Diverse background with both

More information

Magillem. X-Spec. For embedded Software and Software-driven verification teams

Magillem. X-Spec. For embedded Software and Software-driven verification teams Magillem X-Spec For embedded Software and Software-driven verification teams Get ready for the lot execute your spec Predict the behavior of your smart device Software that streamline your design and documentation

More information

ECE 699: Lecture 2. ZYNQ Design Flow

ECE 699: Lecture 2. ZYNQ Design Flow ECE 699: Lecture 2 ZYNQ Design Flow Required Reading The ZYNQ Book Chapter 3: Designing with Zynq ( How do I work with it? ) Xcell Journal Xilinx Unveils Vivado Design Suite for the Next Decade of All

More information

Certifiable Production Code Development

Certifiable Production Code Development Certifiable Production Code Development David Owens Rolls-Royce Control Systems 2017 Rolls-Royce plc and/or its subsidiaries The information in this document is the property of Rolls-Royce plc and/or its

More information

Floorplan Driven Leakage Power Aware IP-Based SoC Design Space Exploration

Floorplan Driven Leakage Power Aware IP-Based SoC Design Space Exploration Floorplan Driven Aware IP-Based SoC Design Space Exploration Aseem Gupta, ikil Dutt, Fadi Kurdahi Center for Embedded Computer Systems University of California, Irvine Irvine, CA 9697 USA {aseemg,dutt,kurdahi}@uci.edu

More information

COMP3221: Microprocessors and. and Embedded Systems

COMP3221: Microprocessors and. and Embedded Systems COMP3221: Microprocessors and Embedded Systems Lecture 31: Embedded Systems http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session 2, 2005 Overview What is an embedded system? Characteristics of embedded

More information

IMPLEMENTATION OF LOW POWER ASIC DESIGN BY SCRIPTED FLOW APPROACH. Abstract

IMPLEMENTATION OF LOW POWER ASIC DESIGN BY SCRIPTED FLOW APPROACH. Abstract IMPLEMENTATION OF LOW POWER ASIC DESIGN BY SCRIPTED FLOW APPROACH Mr. Navandar Rajesh Kedarnath 1 & Deokar Subhash Mahadeo 2, Ph. D. Abstract This paper focusing on automated flow using script for physical

More information

Kerstin Eder Design Automation and Verification, University of Bristol

Kerstin Eder Design Automation and Verification, University of Bristol Kerstin Eder Design Automation and Verification, University of Bristol The ENTRA Project Whole Systems ENergy TRAnsparency - 1.10.2012-30.9.2015 - EU FP7 FET MINECC: Software models and programming methodologies

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application

Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application Adam Taylor Adiuvo Engineering & Training Ltd Tends in Embedded Vision Ubiquity Applications are wide spread ADAS,

More information

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Systems & Technology Group Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Ben Mashak, Howard Chen and Bill Hovis {mashak, haowei, hovis}@us.ibm.com Outline

More information

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com

More information

AN 453: HardCopy II ASIC Fitting Techniques

AN 453: HardCopy II ASIC Fitting Techniques AN 453: HardCopy II ASIC Fitting Techniques November 2008 AN-453-2.0 Introduction Engineers often use a flexible, reprogrammable Stratix II FPGA for prototyping a project, and then transfer the design

More information

NSF {Program (NSF ) first announced on August 20, 2004} Program Officers: Frederica Darema Helen Gill Brett Fleisch

NSF {Program (NSF ) first announced on August 20, 2004} Program Officers: Frederica Darema Helen Gill Brett Fleisch NSF07-504 {Program (NSF04-609 ) first announced on August 20, 2004} Program Officers: Frederica Darema Helen Gill Brett Fleisch Computer Systems Research Program: Components and Thematic Areas Advanced

More information

Xilinx UltraScale MPSoC Architecture

Xilinx UltraScale MPSoC Architecture Xilinx UltraScale MPSoC Architecture The Right Engines for the Right Tasks Ever smarter systems consume increasing amounts of communications and computing bandwidth. There are smarter phones, smarter networks,

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

Huawei Technologies, Inc.

Huawei Technologies, Inc. Huawei Technologies, Inc. Looking for the best talents to build the best products Founded in 1987 as an employee-owned private company with headquarter in Shenzhen, China, Huawei becomes a leading global

More information

EE382V. System Design Metrics

EE382V. System Design Metrics EE382V System Design Metrics Mark McDermott You cannot control what you cannot measure From Controlling Software Projects, Management Measurement & Estimation by Tom DeMarco EE 382V Class Notes Foil #

More information

Design and Implementation of Genetic Algorithm as a Stimulus Generator for Memory Verification

Design and Implementation of Genetic Algorithm as a Stimulus Generator for Memory Verification International Journal of Emerging Engineering Research and Technology Volume 3, Issue 9, September, 2015, PP 18-24 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Design and Implementation of Genetic

More information

System-level Co-simulation of Integrated Avionics Using Polychrony durch Klicken bearbeiten

System-level Co-simulation of Integrated Avionics Using Polychrony durch Klicken bearbeiten CESAR - Cost-efficient methods and processes for safety relevant embedded systems System-level Co-simulation Formatvorlage des Untertitelmasters of Integrated Avionics Using Polychrony durch Klicken bearbeiten

More information

Research Article Modified 16-b Square-root Low Power Area Efficient Carry Select Adder

Research Article Modified 16-b Square-root Low Power Area Efficient Carry Select Adder Research Journal of Applied Sciences, Engineering and Technology 8(21): 222-2226, 214 DOI:1.1926/rjaset.8.1221 ISSN: 24-7459; e-issn: 24-7467 214 Maxwell Scientific Publication Corp. Submitted: September

More information

An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction

An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction by Jasmine Kaur Gulati MT14081 Under the Supervision of Dr. Sumit Darak Bhanu Prakash, ST Microelectronics

More information

Intel s Machine Learning Strategy. Gary Paek, HPC Marketing Manager, Intel Americas HPC User Forum, Tucson, AZ April 12, 2016

Intel s Machine Learning Strategy. Gary Paek, HPC Marketing Manager, Intel Americas HPC User Forum, Tucson, AZ April 12, 2016 Intel s Machine Learning Strategy Gary Paek, HPC Marketing Manager, Intel Americas HPC User Forum, Tucson, AZ April 12, 2016 Taxonomic Foundations AI Sense, learn, reason, act, and adapt to the real world

More information

Philip Simpson. FPGA Design. Best Practices for Team-based Design

Philip Simpson. FPGA Design. Best Practices for Team-based Design FPGA Design 5 Philip Simpson FPGA Design Best Practices for Team-based Design Philip Simpson Altera Corporation San Jose, CA 95134 USA Feilmidh@sbcglobal.net ISBN 978-1-4419-6338-3 e-isbn 978-1-4419-6339-0

More information

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Introduction Xilinx All Programmable FPGAs and SoCs are used across multiple markets, powering applications

More information

OptimoDE: Programmable Accelerator Engines Through Retargetable Customization

OptimoDE: Programmable Accelerator Engines Through Retargetable Customization OptimoDE: Programmable Accelerator Engines Through Retargetable Customization Nathan Clark, Hongtao Zhong, Kevin Fan, Scott Mahlke CCCP Research Group University of Michigan http://cccp.eecs.umich.edu

More information

Graph Optimization Algorithms for Sun Grid Engine. Lev Markov

Graph Optimization Algorithms for Sun Grid Engine. Lev Markov Graph Optimization Algorithms for Sun Grid Engine Lev Markov Sun Grid Engine SGE management software that optimizes utilization of software and hardware resources in heterogeneous networked environment.

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

Delivering High Performance for Financial Models and Risk Analytics

Delivering High Performance for Financial Models and Risk Analytics QuantCatalyst Delivering High Performance for Financial Models and Risk Analytics September 2008 Risk Breakfast London Dr D. Egloff daniel.egloff@quantcatalyst.com QuantCatalyst Inc. Technology and software

More information

Heterogeneous Compute in Automotive and IoT. May 31, June 1,

Heterogeneous Compute in Automotive and IoT. May 31, June 1, Heterogeneous Compute in Automotive and IoT May 31, June 1, 2017 www.imgtec.com heterogeneous hɛt(ə)rə(ʊ)ˈdʒiːnɪəs adjective Diverse in character or content. Hetero from the Greek, meaning other gen a

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics Until recently, the semiconductor industry religiously

More information

HAVE A PLAN! Slide Set Overview. Special Topics in Advanced Digital System Design. Design Methodologies. Design Considerations: Techy Terms

HAVE A PLAN! Slide Set Overview. Special Topics in Advanced Digital System Design. Design Methodologies. Design Considerations: Techy Terms Slide Set Overview Special Topics in Advanced Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/ Simon Fraser University Slide Set:

More information

Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements

Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements By Amit Dua, Adam Sherer, and Umer Yousafzai Cadence Design Systems Because of its flexibility, hardware simulator

More information

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM 1 Key Trends A typical verification challenge... big.little heterogeneous multicore APPS APPS Increasing complexity

More information

HardCopy Series. ASIC Gain Without the Pain

HardCopy Series. ASIC Gain Without the Pain HardCopy Series ASIC Gain Without the Pain January 2005 HardCopy Structured ASICs Altera s HardCopy series is the industry s most compelling structured ASIC solution. With its unique FPGA front-end design

More information

comp 180 Lecture 04 Outline of Lecture 1. The Role of Computer Performance 2. Measuring Performance

comp 180 Lecture 04 Outline of Lecture 1. The Role of Computer Performance 2. Measuring Performance Outline of Lecture 1. The Role of Computer Performance 2. Measuring Performance Summary The CPU time can be decomposed as follows: CPU time = Instructions --------------------------------- Program Clock

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

5. Quartus II Support for HardCopy II Devices

5. Quartus II Support for HardCopy II Devices 5. Quartus II Support for HardCopy II Devices H51022-2.5 HardCopy II Device Support Altera HardCopy II devices feature 1.2-V, 90 nm process technology, and provide a structured ASIC alternative to increasingly

More information

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS AccuCell Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS Who Buys Cell Characterization Software? Commercial Libraries Users Who Do Not Have In-house Characterization Tool Characterize Different

More information

IBM HPC DIRECTIONS. Dr Don Grice. ECMWF Workshop October 31, IBM Corporation

IBM HPC DIRECTIONS. Dr Don Grice. ECMWF Workshop October 31, IBM Corporation IBM HPC DIRECTIONS Dr Don Grice ECMWF Workshop October 31, 2006 2006 IBM Corporation IBM HPC Directions What s Changing? The Rate of Frequency Improvement is Slowing Moore s Law (Frequency improvement)

More information

Study of Power Distribution Techniques for VLSI Design

Study of Power Distribution Techniques for VLSI Design Study of Power Distribution Techniques for VLSI Design Hemraj Kurmi, R.K.Dubey & Ramkishore Patel Department of electronics and Communication engineering Swami Vivekanand University, Sagar email: hemraj.kurmi@gmail.com

More information

New Solution Deployment: Best Practices White Paper

New Solution Deployment: Best Practices White Paper New Solution Deployment: Best Practices White Paper Document ID: 15113 Contents Introduction High Level Process Flow for Deploying New Solutions Solution Requirements Required Features or Services Performance

More information

FPGA Programming. Tutorial. Wojciech Jalmuzna Technical Univeristy of Lodz

FPGA Programming. Tutorial. Wojciech Jalmuzna Technical Univeristy of Lodz FPGA Programming Tutorial Wojciech Jalmuzna Technical Univeristy of Lodz INTRODUCTION What is an FPGA Short FPGA History Programming Language vs. Hardware Description Language Conclusions? Files can be

More information

Design and Verification Tools for Continuous Fluid Flowbased Microfluidic Devices

Design and Verification Tools for Continuous Fluid Flowbased Microfluidic Devices Design and Verification Tools for Continuous Fluid Flowbased Microfluidic Devices Jeffrey McDaniel, Auralila Baez, Brian Crites, Aditya Tammewar, Philip Brisk University of California, Riverside Asia and

More information

2/12/2008. Management Information Systems LEARNING OBJECTIVES. Computer Software Outline. Information Systems: Computer Software

2/12/2008. Management Information Systems LEARNING OBJECTIVES. Computer Software Outline. Information Systems: Computer Software Management Information Systems Information Systems: Computer Software Dr. Shankar Sundaresan (Adapted from Introduction to IS, Rainer and Turban) Computer Software Outline Significance of Software Systems

More information

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor Hot Chips-18 Design of a Reusable 1GHz, Superscalar ARM Processor Stephen Hill Consulting Engineer ARM - Austin Design Centre 22 August 2006 1 Outline Overview of Cortex -A8 (Tiger) processor What is reusability

More information

Designers Describe Next-Generation Application- Specific Devices

Designers Describe Next-Generation Application- Specific Devices End-User Analysis Designers Describe Next-Generation Application- Specific Devices Abstract: Systems designers rate third-party tool integration as one of the top factors in choosing an ASIC vendor, along

More information

ITER Fast Plant System Controller Prototype Based on PXI Platform M.Ruiz, J.Vega

ITER Fast Plant System Controller Prototype Based on PXI Platform M.Ruiz, J.Vega ITER Fast Plant System Controller Prototype Based on PXI Platform M.Ruiz, J.Vega CIEMAT/UPM team Presented BY Arun Veeramani, National Instruments Universidad Politécnica de Madrid Asociación Euratom/CIEMAT

More information

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L - 3 FUZING & ORDNANCE SYSTEMS PUBLIC DOMAIN. This document consists of general capabilities information that is not defined as controlled

More information

Electronic Part Obsolescence Forecasting

Electronic Part Obsolescence Forecasting Electronic Part Obsolescence Forecasting Pro-Active Approaches to Part Obsolescence Management Understanding that electronic part obsolescence is going to be a significant contributor to system sustainment

More information

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 Powe r Gap Power Budgeting Challenge Design Trends Increasing Power Gap Ref: Cisco Multi-IP, multi-core integration Reduced battery life Ref: Samsung, Asia Tech Forum

More information

Expanding the Reach of Formal. Oz Levia November 19, 2013

Expanding the Reach of Formal. Oz Levia November 19, 2013 Expanding the Reach of Formal Oz Levia November 19, 2013 Agenda Jasper Our Product Strategy and Apps Design Coverage App What will it mean to you? Page 2 2013, Jasper Design Automation All Rights Reserved.

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

IC Compiler II. Industry Leading Place and Route System. Overview. Accelerating Time to Results on advanced Designs. synopsys.com.

IC Compiler II. Industry Leading Place and Route System. Overview. Accelerating Time to Results on advanced Designs. synopsys.com. DATASHEET IC Compiler II Industry Leading Place and Route System Accelerating Time to Results on advanced Designs Overview IC Compiler II is the leading place and route system delivering industry-best

More information

APPLICATION OF AUTOMATIC CODE GENERATION FOR RAPID AND EFFICIENT MOTOR CONTROL DEVELOPMENT

APPLICATION OF AUTOMATIC CODE GENERATION FOR RAPID AND EFFICIENT MOTOR CONTROL DEVELOPMENT APPLICATION OF AUTOMATIC CODE GENERATION FOR RAPID AND EFFICIENT MOTOR CONTROL DEVELOPMENT Edward Kelly, James Walters, Cahya Harianto, and Tanto Sugiarto Hybrid Vehicle Motor Controls Overview Requirements

More information

Model-Driven Design-Space Exploration for Software-Intensive Embedded Systems

Model-Driven Design-Space Exploration for Software-Intensive Embedded Systems Model-Driven Design-Space Exploration for Software-Intensive Embedded Systems (extended abstract) Twan Basten 1,2, Martijn Hendriks 1, Lou Somers 2,3, and Nikola Trčka 4 1 Embedded Systems Institute, Eindhoven,

More information

Software Safety and Certification

Software Safety and Certification Software Safety and Certification presented to IEEE Spring Switchgear Committee Luncheon Seminar 4 May, 2004 by Howard Cox Laboratories 1 What we will cover... Functional Safety Concepts from IEC 61508

More information

Airborne Electronic Hardware Lessons Learned Panel National Software and Airborne Electronic Hardware (SW & AEH) Conference

Airborne Electronic Hardware Lessons Learned Panel National Software and Airborne Electronic Hardware (SW & AEH) Conference Airborne Electronic Hardware Lessons Learned Panel 2008 National Software and Airborne Electronic Hardware (SW & AEH) Conference Martha Blankenberger Company DER Rolls Royce Tammy Reeve Panel members FAA

More information

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance White Paper Rethinking SoC Verification Enabling Next-Generation Productivity & Performance March 214 Rebecca Lipon Senior Product Marketing Manager, Synopsys Introduction The introduction of the iphone

More information

****SIMULATION IN HOST-TARGET TESTING****

****SIMULATION IN HOST-TARGET TESTING**** ****SIMULATION IN HOST-TARGET TESTING**** INTRODUCTION: This article describes the importance of simulation in host-target testing. And accordingly leads to a theoretical strategy that can be followed

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks . Design Methodology for IC Manufacturability Based on Regular Logic-Bricks V. Kheterpal, V. Rovner, T.G. Hersan, D. Motiani, Y. Takegawa, A.J. Strojwas, L. Pileggi {vkheterp, vrovner, tgh, dmotiani, yoichi,

More information