General company presentation. January 2015

Size: px
Start display at page:

Download "General company presentation. January 2015"

Transcription

1 General company presentation January 2015

2 Mission Our mission is to be world class competence center offering development and manufacturing of functional semiconductor assembly solutions. Focus on (MEM s) sensor systems. Development from scratch to a manufacturing solution. Meeting quality demand of Automotive industry. 2

3 A young company with a long history 1987 Foundation of eurasem as an independent assembly subcontractor for plastic packaging in Nijmegen 1997 Development of first (acceleration) sensor package 1999 SOP first package using cavity molding technology 2001 Acquisition by Elmos Semiconductor AG -volume assembly SOIC-W (60 KK/yr) 2004 Name changed to Elmos Advanced Packaging BV 2007 Development of various Elmos Sensor projects SOP of oil level sensor package (MCM) 2009 Entering new markets Sencio has taken over all advanced packaging activities from Elmos Semiconductor AG SOP of functional QFN assembly solutions Release of Assembly location in Cabuyao (Philippines) 2014 SOP in Cabuyao 3

4 Facts & Figures Number of employees 45 The TS16949 & ISO certified Over 350 million assemblies supplied to the Automotive Industry. Far East assembly location Cabuyao (Philippines). Innovative engineering team: > 10 year experience. Meeting customer s expectation. Creative mindset 800 m2 clean room facility; 10K & 100K 4

5 Organisation CEO CMO COO CFO Managing Director Manager Marketing & Sales Director Operations Manager Finance Marketing & Sales - Customers Service officer Engineering - Manager Engineering Finance & Administration - Finance Assistant Development - Project leader(s) (PL) - Development Engineer(s) (DE) - Process Engineer(s) (PE) - Equipment Engineer(s) (EE) - Facility Engineer HRM - HRM Officer - General Assistant Manufacturing - Manufacturing Assistant (MA) Material supply - Product Line Guardian(s) (PG) - Product Inspector(s) (PI) - Buyer - Stores / OE ICT - Application / ICT Engineer Quality & Environmental care - Manager Quality & Environmental care - Quality Engineer

6 Proposition Core business: Development of functional plastic encapsulation solutions. Volume manufacturing of functional packaging. Other services: Ceramic / plastic fast turn prototyping Product & process qualification Component supply management Wafer processing

7 Markets & Customers Market value chain Design Component supplier 1 st level packager Final testing & calibration System supplier Final customer SENCIO Services offered to both Component and System suppliers Markets: Automotive Industrial Medical Defence / Aerospace

8 Customers Member of: 8

9 Development for manufacturing Concept engineering Package development & prototyping Industrialisation Transfer into manufacturing SENCIO Research institutes Customer expectation translated to packaging idea. From packaging idea to manufacturing solution. Design for manufacturing. Volume manufacturers Experience of volume manufacturing used to develop manufacturable functional package solution. Local industrialization assures manufacturable solution.

10 Development capabilities Design (Solid Edge & Acad) Leadframe Process design (D.O.E.) Package outline Specific tooling Finite element analysis (Ansys) Design tool Heat dissipation Stress analysis FMEA Qualification Industrialization

11 Technology survey (S.O.L.) Technology Equipment Highlights Taping/detaping Takatori ATM-1100E Takatori ATM 2100D 8 Backgrinding Disco DFG841 8 Thickness 250 µm Wafermounter Nitto MA1508N 8 Wafer labelling Longhill LH890A 8 Wafersawing Disco DFD 651, 8 dual spindle Glass / filter sawing capability Wafer inspection August NSX 95 8 Inspection after sawing

12 Technology survey (F.O.L.) Technology Equipment Highlights Die attach ESEC 2008XP ESEC 2007 Datacon APM2200 Datacon EVO RkD2200 8, wafer handling ± 25 µm Glue Jetting J9000 On Asymtek X10-20, X9-10, connected to Datacon Glue dispensing Mushashi Volumetric On ESEC machines Wirebonding ESEC 3088 Au wire µm Thermosonic ball bonding Wirebonding Delvotec Al wire µm Ultrasonic wedge bonding Plasma cleaning Tepla S660 Ar-plasma

13 Technology survey (B.O.L.) Technology Equipment Highlights Molding Ideal Lab Ideal 2SA Osprey 20t Osprey 40 ton FAM Encapsulation is explained separately Laser marking Rofin RMIC-100D-SD Integrated on T&F Trim & form ASM MP2009 Besi Fico Compactline Tray offloading Tube offloading QFN separation Besi Fico ISS QFN 3x3 mm

14 Functional encapsulation technologies Pressure Gas/ chemical / fluid Sensor types Optical Acceleration gyro Magnetic Overmolding ± Premolded Globtop overmolding Exposed die Glass on die Overmolding passives compnts. ncapsulate

15 ncapsulate Adding an extra dimension to the encapsulation of your device /system Protection Additional functionality Examples: Functional shapes. Combination of mechanics with electronics (mechatronics).

16 ncapsulate functional shapes Encapsulation shape adapted to the application. Accurate dimensional shape, stable over temperature Applications: Alignment features Mounting support Custom specific shape

17 Combination mechanics & electronics Adding mechanical elements to encapsulated electronics Alignment features Supporting features Advantages: Improved alignment (measurement accuracy) Improved thermal contact Simplified design Improved efficiency

18 Integration of screw-thread Improved alignment between nut & sensor /semiconductor

19 Integration of nut applications Direct mounting of heat sink

20 Integration of nut applications Mounting of tube access for sensor application

21 Integration of nut applications Fixation of optical elements (lens, fiber..)

22 Integration of bearing Improved alignment between bearing & semiconductor

23 Integration of bearing Application examples: Magnetic sensor (Hall, AMR) angle measurement

24 Functional encapsulation technologies Pressure Gas/ chemical / fluid Sensor types Optical Acceleration gyro Magnetic Overmolding ± Premolded Globtop overmolding Exposed die Glass on die Technologies can be combined! Overmolding passives compnts. ncapsulate

25 A combination of different technologies Pressure Gas/ chemical / fluid Sensor types Optical Acceleration gyro Magnetic Overmolding ± Premolded Globtop overmolding Exposed die Glass on die Overmolding passives compnts. ncapsulate

26 Product summary (1) Technology Sensor Outline Application Temp range Overmolded Piezo disc Automotive Motor oil-level ºC Premolded Relative P Various Dry air ºC Absolute P Automotive Side airbag ºC Globtop overmolding Absolute P Automotive TPMS ºC Exposed die Optical Automotive Power steering ºC

27 Product summary (2) Technology Sensor Outline Application Temp range Exposed die Chemical Various Various ºC Tuning Fork Automotive Motor-oil viscosity ºC Absolute P Automotive Motor-oil pressure ºC Glass on die Optical Automotive sun angle Sun Angle measurement ºC Optical various RGB-sensor ºC

28 Summary Your assembly expectation realized with a Sencio s functional packaging solution. Development for cost efficient manufacturing. Development in Europe, volume assembly location in the Philippines. Sencio BV Microweg CL NIJMEGEN Netherlands Phone: Fax: info@sencio.nl

29 Protecting your technology with ours!

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT YOUR Strategic TECHNOLOGY PARTNER Wafer Back-End OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP PROTOTYping ENGINEERING TESTING SMT PRODUCTION CHIP ON BOARD SUPPLY CHAIN MANAGEMENT Next Level 0f

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

Teaching Lab Course on Electronic Packaging and Materials

Teaching Lab Course on Electronic Packaging and Materials Session 1526 Teaching Lab Course on Electronic Packaging and Materials Youngmee Lee Department of Materials Science and Engineering University of Washington, Seattle, WA 98195 Minoru Taya / Thomas Stoebe

More information

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT YOUR INNOVATIVE TECHNOLOGY PARTNER CHIP ON BOARD OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP ENGINEERING TESTING PRODUCTION SMT SUPPLY CHAIN MANAGEMENT PROTOTYPES HIGH-PRECISION ASSEMBLY OF MICRO-

More information

AN Handling and processing of sawn wafers on UV dicing tape. Document information. Sawn wafers, UV dicing tape, handling and processing

AN Handling and processing of sawn wafers on UV dicing tape. Document information. Sawn wafers, UV dicing tape, handling and processing Handling and processing of sawn wafers on UV dicing tape Rev. 2.0 13 January 2009 Application note Document information Info Keywords Abstract Content Sawn wafers, UV dicing tape, handling and processing

More information

Microwave Plasma Processing

Microwave Plasma Processing Microwave Plasma Processing MUEGGE GMBH Hochstraße 4-6 64385 Reichelsheim Fon +49 (0) 6164-93 07 11 Fax +49 (0) 6164-93 07 93 info@muegge.de www.muegge.de Microwave Plasma Processing Microwave Plasma Technology:

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Customizing Processes for Hermetic Assembly Of Devices Designed for Plastic Packages (1 of 3)

Customizing Processes for Hermetic Assembly Of Devices Designed for Plastic Packages (1 of 3) Customizing Processes for Hermetic Assembly Of Devices Designed for Plastic Packages (1 of 3) Charlie C. Megia Golden Altos Corporation 402 South Hillview Drive, Milpitas, CA 95035 cmegia@goldenaltos.com

More information

Application Note. KRAMSKI: Accelerated Try-Out Process with ATOS Capsule

Application Note. KRAMSKI: Accelerated Try-Out Process with ATOS Capsule Application Note KRAMSKI: Accelerated Try-Out Process with ATOS Capsule Site / Country: Pforzheim, Germany GOM System: ATOS Capsule Company s field of work: Stamping and injection molding technology Being

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

SURFACE TEMPERATURE SENSORS

SURFACE TEMPERATURE SENSORS SURFACE TEMPERATURE SENSORS These sensors are thermocouples and resistance thermometers for surface temperature measurement of objects. C400 R400 Magnet sensors measurement and monitoring of objects such

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

MRSI-175Ag Epoxy Dispenser

MRSI-175Ag Epoxy Dispenser MRSI-175Ag Epoxy Dispenser Applications: Microwave & RF Modules MEMS Semiconductor Packaging Multi-Chip Modules Hybrid Circuits Optical Modules Overview The MRSI-175Ag Conductive Epoxy Dispenser handles

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Resin. Bond Blade DICING CONSUMABLES APPLICATION SPECIFICATIONS SD BA 56D 0.1T 40H SELF-SHARPENING

Resin. Bond Blade DICING CONSUMABLES APPLICATION SPECIFICATIONS SD BA 56D 0.1T 40H SELF-SHARPENING Resin Blade Resin bond blade, with the characteristic of freecutting and selfsharpening, can efficiently improve cut quality and efficiency on ductile and gmy materials such as QFNs and coppers and on

More information

Effect of Die Bonding Condition for Die Attach Film Performance in 3D QFN Stacked Die.

Effect of Die Bonding Condition for Die Attach Film Performance in 3D QFN Stacked Die. Effect of Die Bonding Condition for Die Attach Film Performance in 3D QFN Stacked Die. A. JALAR, M. F. ROSLE, M. A. A. HAMID. School of Applied Physics, Faculty of Science and Technology Universiti Kebangsaan

More information

bans the use of lead, mercury, cadmium, hexavalent chromium and polybrominated biphenyls (PBB) or polybrominated diphenyl ethers (PBDE).

bans the use of lead, mercury, cadmium, hexavalent chromium and polybrominated biphenyls (PBB) or polybrominated diphenyl ethers (PBDE). MS7907 PRESSURE SENSOR DIE (0-7 BAR) 0 to 700 kpa range (7 bar or 102 PSI) Absolute/differential pressure sensors High linearity, small size RoHS-compatible & Pb-free 1 DESCRIPTION The sensor element of

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Quality in Electronic Production has a Name: Viscom. System Overview

Quality in Electronic Production has a Name: Viscom. System Overview Quality in Electronic Production has a Name: Viscom System Overview Successful along the whole line with Viscom Circuit board manufacturing Paste print Finish S3070 AFI-Scan S3054QS Paste print Thick film

More information

MITUTOYO CUSTOM SOLUTIONS

MITUTOYO CUSTOM SOLUTIONS MITUTOYO CUSTOM SOLUTIONS ADVANCED APPLICATIONS OF MITUTOYO QUALITY TOOLS AND CNC METROLOGY EQUIPMENT GENERAL Bulletin No. 2187(2) Mitutoyo Custom Solutions Mitutoyo Sales-Solutions is a group of highly

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Achieving System Cost Reduction and Performance Optimization using RocketMEMS Semi-Custom Pressure Sensors. Charles Chung, Ph.D.

Achieving System Cost Reduction and Performance Optimization using RocketMEMS Semi-Custom Pressure Sensors. Charles Chung, Ph.D. Achieving System Cost Reduction and Performance Optimization using RocketMEMS Semi-Custom Pressure Sensors Charles Chung, Ph.D. Recent Articles on RocketMEMS Achieving System Cost Reduction and Performance

More information

MTS Semiconductor Solution

MTS Semiconductor Solution MTS 0 unplanned down time Solution Lowest operating Cost Solution Energy saving Solution Equipment Fine Pitch and UPH Upgrade solution Quality & Yield Improvement Solution Reliability Enhancement Solution

More information

Spectrum S-920N Series

Spectrum S-920N Series Spectrum S-920N Series Scalable solutions for high-volume manufacturing and assembly Features and Benefits The S-920N series leverages over 25 years of Nordson ASYMTEK automated fluid dispensing and jetting

More information

Flexible Carrier Enables Automated Test-in-Tray. Dr. Tom Di Stefano Centipede Systems

Flexible Carrier Enables Automated Test-in-Tray. Dr. Tom Di Stefano Centipede Systems Flexible Carrier Enables Automated Test-in-Tray Dr. Tom Di Stefano Centipede Systems Running in Parallel TnT is limited only by Test Electronics Parallel test at fixed DUT positions Parallel to 256+ DUTS

More information

THE PATH TO VOLUME PRODUCTION FOR CPV OPTICS

THE PATH TO VOLUME PRODUCTION FOR CPV OPTICS THE PATH TO VOLUME PRODUCTION FOR CPV OPTICS Thomas Luce 1 and Joel Cohen 1 1 Eschenbach Optik GmbH, Nuremberg, Germany ABSTRACT A crucial prerequisite for a commercial success of largescale CPV is the

More information

Design Molded Plastics, Inc.

Design Molded Plastics, Inc. Design Molded Plastics, Inc. Part Design Assistance Design Molded Plastics offers the added resources to take their projects to the next level Key Points: Capturing the design intent Establish part tolerances

More information

DISTRIBUTION LIST CHANGE RECORD

DISTRIBUTION LIST CHANGE RECORD DISTRIBUTION LIST In charge of the document: L. LE ROY- Quality Director Copy to: Responsibility P. MAURICE President P.E. BERTHET Marketing and Sales Director P. RIGOBERT Manufacturing Director N. FIANT

More information

Plastics made perfect.

Plastics made perfect. Plastics made perfect. Plastic injection molding simulation of an electric hedge trimmer. Designed in Inventor software. Simulated in software. Rendered in 3ds Max software. Validation and Optimization

More information

Analog, MEMS and Sensor Group (AMS)

Analog, MEMS and Sensor Group (AMS) 05-13-2015 Report ID 2015-W20AMKOR-TRANSFER PRODUCT/PROCESS CHANGE NOTIFICATION PCN AMS/15/9324 Analog, MEMS and Sensor Group (AMS) Production transfer from Amkor Korea to Amkor Philippines for component

More information

Nondestructive Internal Inspection. The World s Leading Acoustic Micro Imaging Lab

Nondestructive Internal Inspection. The World s Leading Acoustic Micro Imaging Lab Nondestructive Internal Inspection The World s Leading Acoustic Micro Imaging Lab Unmatched Capabilities and Extensive Expertise At Your Service SonoLab, a division of Sonoscan, is the world s largest

More information

AMERICAS Tel or Tel CHINA, SHENZHEN Tel

AMERICAS Tel or Tel CHINA, SHENZHEN Tel www.uic.com email: universal@uic.com AMERICAS Tel. 1-800-432-2607 or Tel. +1-607-779-7522 CHINA, SHENZHEN Tel. +86-755-2685-9108 CHINA, SHANGHAI Tel. +86-21-6495-2100 EUROPE Tel. +36-23-445-500 2010 Universal

More information

MATERIAL NEEDS AND RELIABILITY CHALLENGES IN AUTOMOTIVE PACKAGING UNDER HARSH CONDITIONS

MATERIAL NEEDS AND RELIABILITY CHALLENGES IN AUTOMOTIVE PACKAGING UNDER HARSH CONDITIONS MATERIAL NEEDS AND RELIABILITY CHALLENGES IN AUTOMOTIVE PACKAGING UNDER HARSH CONDITIONS Varughese Mathew NXP Semiconductors 6501 William Cannon Drive, Austin TX, USA Automotive Innovation Driven by Electronics

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Low Pressure Molding Overview. Henkel Electronics

Low Pressure Molding Overview. Henkel Electronics Low Pressure Molding Overview Henkel Electronics Agenda 1 Technology 2 Process 3 Solutions 2 February 25, 2016 Low Pressure Molding Overview Agenda TECHNOMELT Low Pressure Molding 1. Overview of Technology

More information

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MAAM-008819 2mm 8-Lead PDFN Plastic Package QTR-0147 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax:

More information

Hot Chips: Stacking Tutorial

Hot Chips: Stacking Tutorial Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The

More information

WATOM.. Wafer Topography Measurement KOCOS AUTOMATION GMBH [ ENG ]

WATOM.. Wafer Topography Measurement KOCOS AUTOMATION GMBH [ ENG ] KO C O S - T H E T E C H N O L O G Y G R O U P W H E R E P R E C I S I O N M E E T S Q UA L I T Y WATOM.. Wafer Topography Measurement KOCOS AUTOMATION GMBH [ ENG ] C O N T E N T S WATOM... 3 Wafer edge

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Piezoelectric Polycrystalline (PZT) Components and Wafers

Piezoelectric Polycrystalline (PZT) Components and Wafers Piezoelectric Polycrystalline (PZT) Components and Wafers Industry Leading Piezoelectric Polycrystalline (PZT) Component Manufacturing and Engineering CTS offers high-performance piezoelectric materials

More information

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Dicing Glass Optical Devices

Dicing Glass Optical Devices Glass Applications Dicing Glass Optical Devices -Blade Characteristics 2,, 4 4 Resin Type Q Diamond grit size: 15-45 mic. Thickness: 0.006-0.012 0.012 (0.15mm 0.3mm) Metal Sintered E08 & New matrices 8-258

More information

Non-contact temperature measurement from 50 C to 2200 C

Non-contact temperature measurement from 50 C to 2200 C high-temperature Applications Non-contact temperature measurement from 50 C to 2200 C Infrared thermometers, infrared cameras and their applications Innovative Infrared Technology High temperature applications

More information

A WORLD OF MAGNETICS

A WORLD OF MAGNETICS A WORLD OF MAGNETICS THE COMPANY Welcome to our world of magnets At Sura Magnets AB we know that there is a magnetic solution for every application, from automotive to medtech. Many products featuring

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

The Next Decade of Carbon Fiber Composites: Challenges and Opportunities

The Next Decade of Carbon Fiber Composites: Challenges and Opportunities Engineering, Test & Technology Boeing Research & Technology The Next Decade of Carbon Fiber Composites: Challenges and Opportunities Sam Tucker Next Gen Composites Boeing Research & Technology 100 Years

More information

Package Solutions and Innovations

Package Solutions and Innovations Package Solutions and Innovations with Compression Molding IEEE SVC CPMT Aug 2015 Presented by C.H. Ang Towa USA Company Profile www.cpmt.org/scv 1 Corporate Overview Company: Towa Corp., Kyoto Japan Established:

More information

Innovative Solutions for

Innovative Solutions for Supporting The Needs Of- ISO9000 QS9000 Six Sigma Innovative for Quality Control Measurement Flaw Detection Data Reporting Manufacturing Turnkey Systems Process Control Gauges & Fixtures Engineering Product

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Smartphone with compact camera. Compact Camera Module Assembly Customized Adhesives

Smartphone with compact camera. Compact Camera Module Assembly Customized Adhesives Compact Camera Module Assembly Customized Adhesives Smartphone with compact camera module assembly Perfection is our goal That is why we have developed a wide range of highquality adhesives to answer the

More information

Three-Dimensional Molded Interconnect Devices (3D-MID)

Three-Dimensional Molded Interconnect Devices (3D-MID) Jörg Frank Three-Dimensional Molded Interconnect Devices (3D-MID) Materials, Manufacturing, Assembly and Applica ons for Injec on Molded Circuit Carriers Sample Pages ISBN 978-1-56990-551-7 HANSER Hanser

More information

Copper Wire Bonding: the Last Frontier of Cost Savings. Bernd K Appelt Business Development ASE (U.S.) Inc. April 11, 2012

Copper Wire Bonding: the Last Frontier of Cost Savings. Bernd K Appelt Business Development ASE (U.S.) Inc. April 11, 2012 Copper Wire Bonding: the Last Frontier of Cost Savings Bernd K Appelt Business Development ASE (U.S.) Inc. April 11, 2012 Outline Introduction Fundamental Study Reliability Study Monitoring Data High Volume

More information

Cryogenic Metal Seated Butterfly Valves

Cryogenic Metal Seated Butterfly Valves Cryogenic Metal Seated Butterfly Valves The Key to Cryogenic Valve Solutions VELAN S.A.S VELAN Inc Group VELAN inc is one of the world's leading independent manufacturer of steel gate, globe, check, butterfly

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MASW-007921 2mm 8-Lead Plastic Package QTR-0148 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax: (978)

More information

Features. Benefits. Min Typical Max Min Max. OPTAN-250H-BL 245 nm 250 nm 255 nm 0.5 mw 1.0 mw. OPTAN-255H-BL 250 nm 255 nm 260 nm 0.5 mw 1.

Features. Benefits. Min Typical Max Min Max. OPTAN-250H-BL 245 nm 250 nm 255 nm 0.5 mw 1.0 mw. OPTAN-255H-BL 250 nm 255 nm 260 nm 0.5 mw 1. DATA SHEET OPTAN BALL LENS UVC LEDS IN A TO-39 PACKAGE WHICH OFFERS SUPERIOR LIGHT OUTPUT, EXCELLENT SPECTRAL QUALITY AND LONG LIFETIMES. AVAILABLE IN PEAK WAVELENGTHS FROM 25 nm-28 nm AND OPTICAL OUTPUT

More information

LED Die Attach Selection Considerations

LED Die Attach Selection Considerations LED Die Attach Selection Considerations Gyan Dutt & Ravi Bhatkal Alpha, An Alent plc Company Abstract Die attach material plays a key role in performance and reliability of mid, high and super-high power

More information

Measurement data at orders of magnitude lower cost than other techniques BENEFITS Simple UVC source ideal for compact sensors

Measurement data at orders of magnitude lower cost than other techniques BENEFITS Simple UVC source ideal for compact sensors Optan The Optan Ball Lens, with integrated focusing optics, is the first choice for discerning professionals developing sensors and instruments in demanding measurement applications. Designed for and proven

More information

Wire-bonds Durability in High-temperature Applications M. Klíma, B. Psota, I. Szendiuch

Wire-bonds Durability in High-temperature Applications M. Klíma, B. Psota, I. Szendiuch Ročník 2013 Číslo V Wire-bonds Durability in High-temperature Applications M. Klíma, B. Psota, I. Szendiuch Department of Microelectronics, Faculty of Electrical Engineering and Communication, Brno University

More information

Profile360 In-Line Profile Measurement System

Profile360 In-Line Profile Measurement System Profile360 In-Line Profile Measurement System For rubber, ceramic, plastic, and wood-plastic composite extrusions, roll-formed metal profiles, wire and any other profile. In-Line Inspection... Bottom Line

More information

Cypress Semiconductor Package Qualification Report

Cypress Semiconductor Package Qualification Report Cypress Semiconductor Package Qualification Report QTP# 041007 VERSION 1.0 September 2004 28Ld SNC, 32Ld SOIC, 28/32/36/44Ld SOJ Packages 11 mils Wafer Thickness and Saw Step Cut MSL 3, 220C Reflow Cypress

More information

TSV CHIP STACKING MEETS PRODUCTIVITY

TSV CHIP STACKING MEETS PRODUCTIVITY TSV CHIP STACKING MEETS PRODUCTIVITY EUROPEAN 3D TSV SUMMIT 22-23.1.2013 GRENOBLE HANNES KOSTNER DIRECTOR R&D BESI AUSTRIA OVERVIEW Flip Chip Packaging Evolution The Simple World of C4 New Flip Chip Demands

More information

Micro-Precision Coil and Formed Wire Products for the Medical Device Industry

Micro-Precision Coil and Formed Wire Products for the Medical Device Industry Micro-Precision Coil and Formed Wire Products for the Medical Device Industry Precision Metal Components For Medical Devices Specialized, complex parts and sub-assemblies Extreme diameter and length capabilities

More information

Rotameter. Series 134 glass tube variable area flowmeter. Data sheet Principle of operation. Philosophy. process measurement solutions

Rotameter. Series 134 glass tube variable area flowmeter. Data sheet Principle of operation. Philosophy. process measurement solutions process measurement solutions 3 Rotameter Series 3 glass tube variable area flowmeter Data sheet 70 A range of quality glass tube V.A. flowmeters for general purpose & industrial applications Robust construction

More information

TECHNOLOGY PLASMA. Ahornweg Weikersheim, Germany

TECHNOLOGY PLASMA. Ahornweg Weikersheim, Germany TECHNOLOGY TECHNOLOGY The plasma process is used to optimally prepare material surfaces before processes such as gluing, soldering, bonding, wire-bonding, injection molding, potting, coating, printing

More information

Investor presentation 24 April 2013

Investor presentation 24 April 2013 Investor presentation 24 April 2013 2009 ASM Proprietary Information Safe Harbor Statements All matters discussed in this business and strategy update, except for any historical data, are forward-looking

More information

Features. = +25 C, 50 Ohm System

Features. = +25 C, 50 Ohm System Typical Applications This is ideal for: Features Low Insertion Loss: 2 db E-Band Communication Systems Short Haul / High Capacity Radios Automotive Radar Test Equipment SATCOM and Sensors Functional Diagram

More information

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards Low Temperature Co-fired Ceramics () Multi-layer Module Boards Example: Automotive Application Example: Communication Application Murata's Low Temperature Co-fired Ceramics offer highly integrated substrates

More information

Laser MicroJet. a technology for - prototyping - design innovation - mass customization - small / mid-sized manufacturing runs.

Laser MicroJet. a technology for - prototyping - design innovation - mass customization - small / mid-sized manufacturing runs. Innovative Laser Systems Laser MicroJet a technology for - prototyping - design innovation - mass customization - small / mid-sized manufacturing runs Eric Krause EPMT EPHJ Swissphotonics seminar June

More information

CONTRACT MANUFACTURER OF PRECISION POWDERED METAL PARTS

CONTRACT MANUFACTURER OF PRECISION POWDERED METAL PARTS ASCO Sintering Co. CONTRACT MANUFACTURER OF PRECISION POWDERED METAL PARTS Precision Powdered Metal Gears Net Shape to AGMA 8 Certification at reduced cost Executive Summary Asco produces over 16,000,000

More information

Where ideas take shape. LIGHTING

Where ideas take shape. LIGHTING Where ideas take shape. LIGHTING For over 60 years Pexco has been serving the Lighting market with plastic lens extrusions and other plastic lighting fixture components. Pexco provides design and manufacturing

More information

PRECISION POLYURETHANES

PRECISION POLYURETHANES Company Profile: We at PRECISION POLYURETHANES manufacture and supply various types of Hi - Performance Cast Polyurethane components and other polyurethane products engineered for multipurpose industrial

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

electronic manufacturing services

electronic manufacturing services electronic manufacturing services www.crt.sk CRT electronic The company CRT electronic offers a wide product assortment in field of Electro-Manufacturing Services including supplies of material. We have

More information

Smart Integration of Thermal Management Systems for Electronics Cooling

Smart Integration of Thermal Management Systems for Electronics Cooling Smart Integration of Thermal Management Systems for Electronics Cooling Dr. Ir. Wessel W. Wits, University of Twente, Faculty of Engineering Technology, Laboratory of Design, Production and Management,

More information

Die Hardfacing and Remanufacturing using Direct Metal Deposition (DMD) B. Dutta POM Group, Inc., Auburn Hills, MI-48326

Die Hardfacing and Remanufacturing using Direct Metal Deposition (DMD) B. Dutta POM Group, Inc., Auburn Hills, MI-48326 Die Hardfacing and Remanufacturing using Direct Metal Deposition (DMD) B. Dutta POM Group, Inc., Auburn Hills, MI-48326 OUTLINE Company Overview of Direct Metal Deposition DMD Systems DMD Application in

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

THERMOMETERS. STANDARD BIMETAL 90 back angle type available in 2, 3 and 5 dials

THERMOMETERS. STANDARD BIMETAL 90 back angle type available in 2, 3 and 5 dials THERMOMETERS Thermometers are used to measure temperature. PIC stocks a variety of temperature measuring instruments, including bimetals, magnetic thermometers, tridicators and scale thermometers. STANDARD

More information

Where ideas take shape. MEDICAL

Where ideas take shape. MEDICAL Where ideas take shape. MEDICAL Persistently achieving For more than 50 years, medical companies have turned to Pexco for premium injection molding, medical tubing, CNC machining and custom medical plastic

More information

RELIABILITY INNOVATION

RELIABILITY INNOVATION Rue de l Industrie ZAC de la Terre Rouge 77220 Tournan-en-Brie FRANCE Tél. + 33 (0)1 64 42 54 00 Fax. +33 (0)1 64 07 03 56 E-mail : info@labbe-france.fr S.A. au capital de 1.000 000 SIREN 746 050 475 000

More information

SCOPE OF ACCREDITATION TO ISO/IEC 17025:2005. ELEMENT NEWTOWN 2 Pheasant Run Newtown, PA Douglas Reed Phone: MECHANICAL

SCOPE OF ACCREDITATION TO ISO/IEC 17025:2005. ELEMENT NEWTOWN 2 Pheasant Run Newtown, PA Douglas Reed Phone: MECHANICAL SCOPE OF ACCREDITATION TO ISO/IEC 17025:2005 ELEMENT NEWTOWN 2 Pheasant Run Newtown, PA 18940 Douglas Reed Phone: 267 759 3886 MECHANICAL Valid To: April 30, 2016 Certificate Number: 0478.01 In recognition

More information

Adhesive Transfer Tapes with Adhesive , 941N, 965, 966, 9461P, 9461PC, 9462P

Adhesive Transfer Tapes with Adhesive , 941N, 965, 966, 9461P, 9461PC, 9462P 3 Adhesive Transfer Tapes with Adhesive 100 941, 941N, 965, 966, 9461P, 9461PC, 9462P Technical Data October, 2003 Product Description These 3M Adhesive Transfer Tapes with 3M Adhesive 100 are designed

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

PRECISION MACHINING IN PLASTICS

PRECISION MACHINING IN PLASTICS FROM CONCEPT T O P R O T O T Y P E TO PRODUCTION Medical Manifolds Fine Writing Instruments Micro Fluidics Quality Assurance Phantoms Lenses & Light Guides PRECISION MACHINING IN PLASTICS Why choose Carville

More information

The. universal 3D Inspection reference. advanced 3D measurement software & solutions

The. universal 3D Inspection reference.  advanced 3D measurement software & solutions The universal 3D Inspection reference www.metrologicgroup.com advanced 3D measurement software & solutions About the company Optimize your quality processes, measure more efficiently, maximize your investments

More information

SPR-54 Series. Data Sheet. Outline. Features. Viewing angle 2θ 1/2 : 45. Recommended Solder Pattern. Dimensions. Specifications. 1/ Rev.

SPR-54 Series. Data Sheet. Outline. Features. Viewing angle 2θ 1/2 : 45. Recommended Solder Pattern. Dimensions. Specifications. 1/ Rev. Features Outline Viewing angle 2θ 1/2 : 45 Color Type M V Dimensions Recommended Solder Pattern 1.0 ±0.1 2.0 2.5 2.5 Tolerance : 0.2 (unit : mm) (unit : mm) Specifications Viewing angle 2θ 1/2 / 45 :Standard

More information

INNOVATION BEYOND TECHNOLOGY

INNOVATION BEYOND TECHNOLOGY dsept Nov 2012 2016 INNOVATION BEYOND TECHNOLOGY 1 About the VTech Group Locations Headquartered in HKG since 1976 Listed in HKG (HKSE:303) Factories in HouJie, LiaoBu & QingYuan, R&D Center in SZ Operations

More information

Wafer probe challenges for the automotive market Luc Van Cauwenberghe

Wafer probe challenges for the automotive market Luc Van Cauwenberghe Wafer probe challenges for the automotive market Luc Van Cauwenberghe ON Semiconductor Overview Automotive wafer probe requirements Results of experiments Summary Follow on Work Acknowledgements 2 Automotive

More information

Continuous Fiber Reinforced Thermoplastic (CFRT ) Inserts for Injection Over-Molding in Structural Applications

Continuous Fiber Reinforced Thermoplastic (CFRT ) Inserts for Injection Over-Molding in Structural Applications Continuous Fiber Reinforced Thermoplastic (CFRT ) Inserts for Injection Over-Molding in Structural Applications Thomas Smith, President TenCate Performance Composites Kipp Grumm, PE Advanced Development

More information

10 Manor Parkway, Suite C Salem, New Hampshire

10 Manor Parkway, Suite C Salem, New Hampshire Micro-Precision Technologies (MPT) is an independent manufacturer of hybrid integrated circuits, multichip modules, and high-precision thick film substrates for the military, medical, avionics, optoelectronics,

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader TSV technology embedding high density capacitors for advanced 3D packaging solutions IMAPS Device Packaging Conference 2014 Catherine Bunel 2014.03.12 Outline Introduction IPDiA s

More information

Nondestructive material testing services

Nondestructive material testing services NDT SERVICES Nondestructive material testing services Conventional nondestructive inspections and automated ultrasonic single- and serial inspection At your site or in our NDT Service Centre in Burgwedel

More information

a solution for reducing the

a solution for reducing the Outsourcing the precision cleaning, a solution for reducing the environmental impact Trade fair Hanover 2015 Heiko ZSCHIEDRICH Hanover April 15, 2015 Summary 1. Introduction and presentation of ECP 2.

More information

AEC WORK SHOP SESSION KNOWN GOOD DIE / MULTI-CHIP MODULE. Daniel Vanderstraeten On Semiconductor

AEC WORK SHOP SESSION KNOWN GOOD DIE / MULTI-CHIP MODULE. Daniel Vanderstraeten On Semiconductor AEC WORK SHOP SESSION KNOWN GOOD DIE / MULTI-CHIP MODULE Banjie Bautista - Integrated Silicon Solution Inc. Pamela Finer Pericom Semiconductor Tim Haifley Altera Tom Lawler Lattice Semiconductor Nick Lycoudes

More information