Panel Discussion: Advanced Packaging

Similar documents
Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Innovative Substrate Technologies in the Era of IoTs

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

Outline. Market Size Industry Trends Material Segment Trends China Summary. Packaging Materials Market Trends, Issues and Opportunities

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Fan-Out Packaging Technologies and Markets Jérôme Azémar

Material based challenge and study of 2.1, 2.5 and 3D integration

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

Between 2D and 3D: WLFO Packaging Technologies and Applications

S/C Packaging Assembly Challenges Using Organic Substrate Technology

Chips Face-up Panelization Approach For Fan-out Packaging

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

Narrowing the Gap between Packaging and System

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

A Cost Analysis of RDL-first and Mold-first Fan-out Wafer Level Packaging

Innovative Advanced Wafer Level Packaging with Smart Manufacturing Solutions YOON Seung Wook, Ph.D MBA

5. Packaging Technologies Trends

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Panel Fan-Out Manufacturing Why, When, and How?

PoP/CSP Warpage Evaluation and Viscoelastic Modeling

TechARENA Packaging Exhibitor Session OCT/08, 2014 New WLP-Technology-Fusion Concept Steffen Kröhnert, Director of Technology, NANIUM S.A. V1.

Semiconductor IC Packaging Technology Challenges: The Next Five Years

IME Proprietary. EPRC 12 Project Proposal. 3D Embedded WLP. 15 th August 2012

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

Wire-Bond CABGA A New Near Die Size Packaging Innovation Yeonho Choi February 1, 2017

Next Gen Packaging & Integration Panel

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Hot Chips: Stacking Tutorial

3DIC Integration with TSV Current Progress and Future Outlook

A Flexible Vertical MEMs Probe Card Technology for Pre-Bump and ewlp Applications

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Henkel Adhesive Solutions for SiP Packaging. October 17-19, 2018 Shanghai, China

Advanced Packaging Technologies Update

Test Flow for Advanced Packages (2.5D/SLIM/3D)

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

TGV and Integrated Electronics

Packaging Substrate Workshop Wrap Up. Bob Pfahl, inemi

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

ewlb (embedded Wafer Level BGA) Technology: Next Generation 3D Packaging Solutions

Bonding Technologies for 3D-Packaging

System Level Design and Simulation for Heterogeneous Integration

Design and Assembly Process Implementation of 3D Components

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Henkel Enabling Materials for Semiconductor and Sensor Assembly. TechLOUNGE, 14 November 2017

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

MODELING, DESIGN, FABRICATION AND RELIABILITY CHARACTERIZATION OF ULTRA-THIN, GLASS BGA PACKAGE-TO- BOARD INTERCONNECTIONS

Increasing challenges for size and cost reduction,

Advanced 3D ewlb PoP (embedded Wafer Level Ball Grid Array Package on Package) Technology

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

3D-WLCSP Package Technology: Processing and Reliability Characterization

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Graser User Conference Only

Roundtable 3DIC & TSV: Ready for HVM? European 3D TSV Summit

Solder alloy development for FOWLP Hikaru Nomura

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Nanium Overview. Company Presentation

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Molding materials performances experimental study for the 3D interposer scheme

Equipment and Process Challenges for the Advanced Packaging Landscape

3D Integrated ewlb /FO-WLP Technology for PoP & SiP

Recent Trends of Package Warpage and Measurement Metrologies

Development of Next-Generation ewlb Packaging

Package, Assembly and Thermal Challenges for Future Microprocessors

TRANSFER MOULDING & EPOXY MOULD COMPOUND TECHNOLOGY WORKSHOP

Glass Carrier for Fan Out Panel Level Package

Technical Viability of Stacked Silicon Interconnect Technology

Recent Trends of Package Warpage and Measurement Metrologies (inemi Warpage Characterization Project Phase 3)

Fundamentals of Sealing and Encapsulation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Fraunhofer IZM. All Silicon System Integration Dresden Scope. M. Juergen Wolf

An Innovative High Throughput Thermal Compression Bonding Process

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

THROUGH-SILICON interposer (TSI) is a

Warpage Characteristics of Organic Packages, Phase 4

Pouzdření pro moderní elektronické aplikace. Ing. Jiří Starý, SMT Plus. 17. října 2011

MEPTEC Semiconductor Packaging Technology Symposium

Assembly Reliability of TSOP/DFN PoP Stack Package

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Modelling Embedded Die Systems

Wafer/Panel Level Package Flowability and Warpage Project. Call for Sign-up Webinar

Encapsulation Materials Technology For SiP in Automotive

Selection and Application of Board Level Underfill Materials

Chip Packaging for Wearables Choosing the Lowest Cost Package

Towards Industrialization of Fan-out Panel Level Packaging

Development of System in Package

II. A. Basic Concept of Package.

Development of Novel High Density System Integration Solutions in FOWLP Complex and Thin Wafer-Level SiP and Wafer-Level 3D Packages

Fan-out Wafer Level ewlb Technology as an Advanced System-in- Package Solution

RF System in Packages using Integrated Passive Devices

TSV Interposer Process Flow with IME 300mm Facilities

Package Solutions and Innovations

Compression molding encapsulants for wafer-level embedded active devices

ELEC 6740 Electronics Manufacturing Chapter 5: Surface Mount Design Considerations

Transcription:

Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1

Technical Challenges of Packaging (Mobile Focus) Materials Die materials PAGE 2 Low K and Extreme Low K Dielectrics Fine Pitch Interconnects (<100mm) Substrate materials engineered for: Modulus, fracture toughness, CTE, Tg, shrinkage, cure temperature and kinetics, adhesion to multiple materials, dielectric properties (frequency dependence),.. Mechanical Ultra thin die ( 100mm) CTE Mismatch Warpage Control Preserving Si Strain Engineering 28nm Thermal Poor Thermal Paths No Air Flow, Closed System Electrical Signal Integrity Power DistribuLon FuncLonal ParLLoning Evolution of Si nodes in last 5 yrs 20nm 14/16 nm 1 st gen FINFET 10 nm 2 nd gen FINFET 7 nm 3 rd gen FINFET

Interconnect Trends for Packages FC Interconnect using SOP Fine Pitch FC used in mobile devices Die SOP Transition for CuBOL ETS Finer Pitches FC ( 130 um) CuBOL/ETS (>100 um) ETS(>60-80 um) TCFC ( 80 um) PAGE 3 - Fine pitch Cu pillar interconnect - Mass reflow most common and cheapest joining process - Capillary and molded underfills are used - TCFC and Laser Assisted - Lower stress attach - Ability to handle warped substrates

Current Mobile Packaging for Apps Processors High End Processors Mid End Processors Low End Processors Pseudo-Embedded POP packages MCeP (Shinko/ Amkor) + + FO Structure InFO (TSMC) Memory Memory Molded Laser PoP (MLP) with or w/o die exposed PAGE 4

The Quest for smaller form factor and higher FO-WLP Eliminates die interconnect (bump and wirebonds) and substrate Finer pitches than substrate based technology Substrate technology 10/10um L/S with 7/7um L/S in development FO technology 10-15um L/S common, 2/2um L/S in LVM Shorter interconnects = Lower parasitics Eliminate interconnect stress and ELK crack delamination issues Batch packaging process like WLP, but can be with KGD Potential SiP, Multi-die, 3D Solution Can improve thermal characteristics Larger panel batch processing in development to lower cost Challenges in patterning, sputtering, plating, and metrology over large format Modules Higher component density saves PWB area Finer component pitches than standard SMT line Embedded devices enables 3D 2.1 and higher D s Shorter interconnects = Lower parasitics Interconnect pitches approaching wafer BEOL 2/2um L/S in LVM, 1/1um L/S in development Multiple die or Split die architectures Can require in 2/2um L/S or better Improved power dissipation PAGE 5

Wafer Batch Processed Package Evolution WLP Face Down FOWLP WLP with Sidewall Prot. Face Up WLP/ FOWLP Face Down FOWLP POP Face Up FOWLP (InFO) Time Features and Benefits - Lowest cost solu2on if applicable - Finer pitch rou2ng than substrates - Cost effec2ve for die requiring some fan out - Lower parasi2cs - Finer pitch rou2ng than substrates - More robust handling, Not prone to edge cracking - Flat surface to pabern RDL. Finer pitch possible - Mold protec2on over die surface - Thinner POP possible compared to substrate based - Flat surface for paberning RDL. Finer pitch Pillars for POP connec2on than solder balls Challenges - Rel limits die size - Handling issues for EMS - Low K makes worse - Yield challenges because die first - 2+ layers of RDL more expensive than substrate pkg. - Increases cost over WLP - Requires growth of Cu pillar on die increasing cost over face down FOWLP - Cost For 2+ RDL - Cost for 2+ RDL - Cost to grow Cu pillars for POP Applica2ons - Devices that I/O boundary - Many FC applica2ons can port - Mul2 chip modules - Same as WLP - Same as FOWLP, WLP, sidewall protected WLP - Apps processor for high end phones - Apps processor for high end phones PAGE 6

2.1/2.5D Advanced Packages for Multichip, Processors, GPUs and FPGA TSI CoWoS, CoW, CoS 2.5D 2.1D FOCoS Fan Out Chip on Substrate SWIFT 2.1D Photo-Defined Organic Interposer (POI) EMIB Suppliers Features Assembly Complexity Multi-die Integration TSMC, Multiple OSATS Si Interposer Glass Interposers in development for improve electrical performance by GaTech Die first or last assembly depending on process flow In LVM Si Interposer +Substrate Assembly ASE Amkor Shinko Intel Die first face down FO construction Leverages HVM processes of standard FOWLP but fine pitch RDL In Dev. FO processes+ PKG to Substrate Assenly Conventional RDL Die last assembly AOI inspected RDL In Dev. RDL+ Chip Joining + PKG to Substrate Assembly Advanced PID Substrate Die last assembly AOI Inspected RDL In Dev. Conventional Chip Joining only Si Bridge Embedding Laser SRO/ Mixed Bump Known Good Si Bridge In LVM Conventional Chip Joining only PAGE 7