Rethinking SoC Verification Enabling Next-Generation Productivity & Performance

Size: px
Start display at page:

Download "Rethinking SoC Verification Enabling Next-Generation Productivity & Performance"

Transcription

1 White Paper Rethinking SoC Verification Enabling Next-Generation Productivity & Performance March 214 Rebecca Lipon Senior Product Marketing Manager, Synopsys Introduction The introduction of the iphone in 27 represented a fundamental shift in electronic system design: moving advanced processing power off of the desktop and into the hands of users everywhere, always. This shift has led to a revolution in mobile the expansion into the Internet-of-Things, with wearables, connected automobiles and homes (See Figure 1). Mobile & Internet-of-Things riving Growth Convergence SoC complexity New verification challenges Multicore CPUs Multicore Graphics Multimedia Connectivity Sensors Verification Complexity Efficiency More Software Time-to-Market Figure 1: Mobile and Internet-of-Things driving growth This revolution is causing profound technology challenges in the semiconductor industry. Each of these systems has connectivity demands requiring the use of standard protocols such as Bluetooth, USB, LTE, and MIPI. Users need for longer battery life requires ultra-low power design on every SoC. Innovation in user interface design is similarly creating challenges for the SoC: touch, temperature, and pressure sensors are now an expectation. Users desire for apps on their mobile phones also creates the requirement for software development in tandem with hardware development, so users will be able to access content the moment they purchase a new device. And these multi-use devices must be upgraded every few months to remain competitive in the mobile marketplace. In response, this is causing a revolution in design integrating ever-greater system complexity onto a single chip. Today, a leading edge mobile-enabled electronic system is based on a SoC that contains more than a billion gates, at least 1 interface protocols, up to hundreds of IP blocks, power domains and clock domains, and millions of lines of code. This increase in SoC design complexity has created orders of magnitude greater

2 challenges in SoC verification. Not only is there a need for sheer capacity in verification technology, but also the need to verify vastly more scenarios: management, analog components, device-level software, low power structural checks and much more. Companies have been leveraging many point tools to address these challenges, and they have also tried to plug holes in verification flows with disjoint flows. Because of the duplicate steps, incompatible databases and different debug environments, making disjoint flows work is extremely costly in time and resources, and has big impact on ease-of-use and productivity. Moreover, the results of point tools are difficult to integrate into standard sign-off flows. Building a comprehensive, unified and integrated verification environment is required for today s revolutionary SoCs. As a result, we are at an inflection point in this industry that calls for new, integrated verification solutions that will offer a fundamental shift forward in productivity, performance, capacity and functionality. Synopsys is meeting this demand with Verification Compiler. Verification Compiler provides the software capabilities, technology, methodologies and VIP required for the functional verification of advanced SoC designs in one solution (See Figure 2). Verification Compiler ebug Static Formal Simulation VIP Coverage Figure 2: Verification Compiler The first step in addressing productivity demands of these large SoCs is to provide the next generation of high performance and high capacity static and formal solutions to enable bug detection and prevention at a much earlier point of the design flow. Current static and formal technology is incapable of scaling to address the concerns of large scale SoCs. In the past these technologies were often deployed at block-level only, but given the complexity and size of current chips a new solution is entirely necessary. Verification Compiler provides next-generation static and formal technology delivering a 3-5 performance improvement and the capacity to analyze a complete SoC. As important to addressing productivity as early bug detection and prevention with a high capacity solution is, those engines are far more powerful when integrated into a single verification solution. Engines that leverage a common front end compiler as well as common coverage and debug interfaces help reduce set up overhead and allow users to have much better visibility across all techniques applied in the verification process. Often to truly address a complex problem requires a combination of formal or static techniques as well as dynamic simulation approaches and debug visibility across the entire flow. Let s take the specific example of low power SoC verification. Low power verification has always required specifying intended power design, and then having all technologies in the flow adhere to and model that intention accurately. However, when the definition of power intent was first standardized, it did not take into account that the sources of a net might be from an analog component and not a purely digital one. In a SoC, a user touching a screen absolutely should be able to wake up a device, which requires that the power-on sequence for that device can take input from analog circuitry. This behavior has required new advances in simulation to enable accurate modeling. Similarly, when a smartphone turns on, a complex sequence of events occurs through hundreds of IP blocks to ensure that the device wakes up properly. Traditionally, reset simulations are run at gate level to mimic the way that actual hardware resolves x states in order to ensure the device will operate properly. However, using gate-level simulations isn t practical for complex SoCs, but RTL simulation can be overly optimistic with these x values and possibly mask bugs. With the increase in low power designs, the situation has only become worse since poweraware chips act as if they are in reset mode whenever they recover from power-shutoff and can get into even more complex states recovering from standby. Verification engineers need to regularly validate -propagation issues particularly in low power designs, preferably at RTL for fastest simulation. 2

3 Conventional Native Low RTL simulation (Verilog semantics) Top domain (always on) domain 1 OFF Missing ISO ISO management unit domain 2 ON 1 Corruption value from conventional Native Low RTL simulation showing missing ISO policy is masked by RTL semantics Conventional Native Low RTL simulationinferred isolation is properly blocking corruption value from OFF domain Native Low RTL simulation with -Prop Top domain (always on) domain 1 OFF Missing ISO ISO management unit domain 2 ON Corruption value from Native Low RTL simulation with -Prop showing missing ISO policy is properly propagated by -Prop semantics Native Low RTL simulation-inferred isolation is properly blocking corruption value from OFF domain Figure 3: Native Low RTL simulation with and without -Prop In Verification Compiler -propagation simulation can be run simultaneously in a native low power and analog mixed signal cosimulation environment. All of them can share coverage data, and be debugged simultaneously, allowing for far more robust verification to occur. A common understanding of power intent, auto generated low power assertions, advanced modeling techniques like -propagation analysis, and other valuable simulation data presents a better low power debug view of the design (See Figure 3). Figure 4: Find source of with -Aware ebug Another area of particular pain in SoC verification can be in clock domain crossing (CC) validation. With the increase in low power design techniques it is entirely possible to have multi-voltage, adaptive frequency designs making the task of ensuring that clocks are stable when logic requires them incredibly daunting. For example, every element at the boundary of every power domain should have its isolation enabled either in the source domain or synchronized to the source domain (See Figure 4). This requirement means that static tools traditionally used for CC also have to share data with low power static-checking tools to achieve accurate validation. Adding to that, to truly validate at the SoC level, these static tools need far greater capacity than they have had in the past. The system has to be checked holistically, and the tools have to share data. 3

4 Low power Formal property checking CC checking Advanced Lint Save/restore Command interface LP GUI schematics FSM analysis Optimized design databases Clock Test Custom reports Extended Unified logic database TCL interface Unified hardware inferencing Verilog, VHL, SV,.lib, UPF, SC, Figure 5: Next-generation static and formal verification Static (structural) CC checking F1 F2 F3 Formal CC checking ata loss ata stability FIFO Handshake F1 CC Jitter simulation F2 F3 F4 F5 F6 Figure 6: Clock domain crossing (CC) validation needs static, formal verfication and simulation Verification Compiler offers a high-capacity, integrated front end compiler with common coverage that allows the full chip to be verified, and next-generation static and formal applications to share data leading to comprehensive, robust validation for SoCs (See Figure 5). All of these activities leverage the same configuration as simulation and other verification activities, so these flows are completely contiguous: Verification Compiler truly provides one verification closure flow. One compiles the design once targeting static and formal checks to help identify design bugs related to CC, low power, connectivity, and other scenarios earlier, and then one can, with the exact same setup in the exact same solution, run dynamic simulations on the scenarios that truly require them while leveraging common coverage and debug across all engines. This integration means verification engineers no longer have to be experts at every static and formal check that could be run they can easily run these checks without having to set up a new flow and they work together to catch scenarios that never could have been tested in a disjoint point tool solution (See Figure 6). 4

5 While simulators have provided debugging solutions for many years, the ability to interactively debug simulation results as it runs helps identify issues faster and more easily than post-process debugging ever could. Rootcausing constraint conflicts and performing what-if analysis of different possible values for a random variable can all be done without having to leave the debug interface or recompile the design. Tracing unknown values in power-aware simulation with -propagation analysis can be done interactively through the power-on sequence until this tricky analysis is validated without ever having to run a simulation to completion. Pin-pointing testbench bugs can be done far more effectively through transaction-level visualization of dynamic objects in the waveform window while stepping through the simulation cycle-by-cycle. RTL can also be verified simultaneously with object code running on the embedded processor interactively allowing users to verify both the processor and the hardware simultaneously. Having a common front end, native traversal of the design hierarchy, and robust compression of dumping data built into Verification Compiler allows for far higher capacity designs to be debugged efficiently. Integration with static technology and awareness of VIP in the debugger allows a user to abstract the visualization of their chip to a higher-level. This enables far more efficient protocol-level analysis of issues. Common, highcapacity, interactive debug is the only way to effectively visualize and ensure the validity of large SoCs and this is all available with Verification Compiler (See Figure 7). Figure 7: Verdi Protocol Analyzer and Low ebug views A deep integration between VIP and the simulation engine can also greatly improve productivity. Verification Compiler s constraint engine is tuned for optimal performance with its VIP library. It has integrated debug solutions for VIP so one can do protocol-level analysis and transaction-based analysis with the rest of the testbench. Verification plans for protocol-level coverage are provided for all titles, easy to incorporate as subplans into the overall project tracking process. The VIP library also comes enabled for pre-compilation, meaning it can always be compiled separately, and linked as a pre-compiled.so file, saving compilation time at the SoC level, and reducing disk space. Verification Compiler allows users to find bugs earlier, increase their reuse of IP across projects, and run faster and smarter simulations all in one environment. Verification Compiler provides the right engine for each challenge with no additional setup time; furthermore it provides consistent debug and coverage visualization across all engines, greatly improving productivity in analyzing results and identifying design issues. Verification Compiler provides best-in-class simulation and an intuitive, robust, multi-platform debug engine, with next-generation static and formal solutions, a comprehensive library of optimized VIP, and full low power verification and debug capabilities. Verification Compiler enables concurrent verification allowing its composite engines to be used concurrently and independently greatly enhancing individual and organizational productivity. The common front-end compiler, common debug interface, and common coverage database across engines increases consistency in setup and portability of code across tools, enhances visualization by providing a common interface for all flows, and allows projects to be comprehensively tracked regardless of the verification technique deployed. Verification Compiler embodies the fundamental rethinking needed to address the rising SoC challenges our industry is facing: it is the method by which organizations can effectively verify today s SoCs. Synopsys, Inc. 7 East Middlefield Road Mountain View, CA Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at All other names mentioned herein are trademarks or registered trademarks of their respective owners. 3/14.R.CS4139.

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster Virtualizer ``Overview ``The Impact of ``What are Virtual Prototypes? ``Competitive Electronic Products Faster ``Use Virtual Prototyping from Specification to Deployment ``Virtualizer Technical Specification

More information

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Introduction Xilinx All Programmable FPGAs and SoCs are used across multiple markets, powering applications

More information

TLM-Driven Design and Verification Time For a Methodology Shift

TLM-Driven Design and Verification Time For a Methodology Shift TLM-Driven Design and Time For a Methodology Shift By Jack Erickson, Cadence Design Systems, Inc. Transaction level modeling (TLM) is gaining favor over register-transfer level () for design components

More information

Xcelium Parallel Logic Simulation

Xcelium Parallel Logic Simulation Breaking through functional verification bottlenecks provides highest productivity for your most complex IP and largest SoC projects Cadence Xcelium Parallel Logic Simulation is the third generation of

More information

ST-Ericsson Speeds Time to Functional Verification Closure with the Questa Verification Platform by Rachida El IDRISSI, ST-Ericsson

ST-Ericsson Speeds Time to Functional Verification Closure with the Questa Verification Platform by Rachida El IDRISSI, ST-Ericsson ST-Ericsson Speeds Time to Functional Verification Closure with the Questa Verification Platform by Rachida El IDRISSI, ST-Ericsson Introduction Functional verification is one of the most critical steps

More information

Philip Simpson. FPGA Design. Best Practices for Team-based Design

Philip Simpson. FPGA Design. Best Practices for Team-based Design FPGA Design 5 Philip Simpson FPGA Design Best Practices for Team-based Design Philip Simpson Altera Corporation San Jose, CA 95134 USA Feilmidh@sbcglobal.net ISBN 978-1-4419-6338-3 e-isbn 978-1-4419-6339-0

More information

Managing Functional Verification Projects

Managing Functional Verification Projects White Paper Managing Functional Verification Projects Meeting the challenges of high-level verification in today s SoCs Kwamina Ewusie, Senior Consulting Manager, Synopsys Professional Services Rajat Mohan,

More information

Automotive Safety and Security in a Verification Continuum Context

Automotive Safety and Security in a Verification Continuum Context Automotive Safety and Security in a Verification Continuum Context Accelerating the Development of Automotive Electronic Systems Jean-Marc Forey Automotive Functional Safety Professional Synopsys Inc.

More information

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs HX5000 Design Flow and Infrastructure Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs Overview Radiation-hardened application specific integrated circuits (ASICs) can now achieve extremely

More information

Address system-on-chip development challenges with enterprise verification management.

Address system-on-chip development challenges with enterprise verification management. Enterprise verification management solutions White paper September 2009 Address system-on-chip development challenges with enterprise verification management. Page 2 Contents 2 Introduction 3 Building

More information

THE COVERAGE CHALLENGE INDUSTRY COVERAGE TRENDS

THE COVERAGE CHALLENGE INDUSTRY COVERAGE TRENDS Using Formal Technology To Improve Coverage Results by Roger Sabbagh, Product Marketing Manager Design Verification & Harry Foster, Chief Verification Scientist, Mentor Graphics Debugging continues to

More information

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics 12 INTRODUCTION With ASIC complexity on the increase and unrelenting time-to-market

More information

Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements

Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements By Amit Dua, Adam Sherer, and Umer Yousafzai Cadence Design Systems Because of its flexibility, hardware simulator

More information

Saber Automotive Overview

Saber Automotive Overview Datasheet Saber Automotive Overview Overview Hybrid- and electric-vehicle development demand more and more accurate simulation of Automotive systems to achieve quality-, reliabilityand cost-requirements.

More information

Expanding the Reach of Formal. Oz Levia November 19, 2013

Expanding the Reach of Formal. Oz Levia November 19, 2013 Expanding the Reach of Formal Oz Levia November 19, 2013 Agenda Jasper Our Product Strategy and Apps Design Coverage App What will it mean to you? Page 2 2013, Jasper Design Automation All Rights Reserved.

More information

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics Until recently, the semiconductor industry religiously

More information

BACSOFT IOT PLATFORM: A COMPLETE SOLUTION FOR ADVANCED IOT AND M2M APPLICATIONS

BACSOFT IOT PLATFORM: A COMPLETE SOLUTION FOR ADVANCED IOT AND M2M APPLICATIONS BACSOFT IOT PLATFORM: A COMPLETE SOLUTION FOR ADVANCED IOT AND M2M APPLICATIONS What Do You Need to Ensure a Successful Transition to IoT? As the business climate grows ever more competitive, industrial

More information

What Do You Need to Ensure a Successful Transition to IoT?

What Do You Need to Ensure a Successful Transition to IoT? What Do You Need to Ensure a Successful Transition to IoT? As the business climate grows ever more competitive, industrial companies are looking to the Internet of Things (IoT) to provide the business

More information

High Level Synthesis with Catapult 8.0. Richard Langridge European AE Manager 21 st January 2015

High Level Synthesis with Catapult 8.0. Richard Langridge European AE Manager 21 st January 2015 High Level Synthesis with Catapult 8.0 Richard Langridge European AE Manager 21 st January 2015 Calypto Overview Background Founded in 2002 SLEC released 2005 & PowerPro 2006 Acquired Mentor s Catapult

More information

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM 1 Key Trends A typical verification challenge... big.little heterogeneous multicore APPS APPS Increasing complexity

More information

RISC-V SoC Hierarchical Verification Block to Top Level. Jeremy Ralph - Verification Consultant November 13, 2018

RISC-V SoC Hierarchical Verification Block to Top Level. Jeremy Ralph - Verification Consultant November 13, 2018 RISC-V SoC Hierarchical Verification Block to Top Level Jeremy Ralph - Verification Consultant November 13, 2018 Imagine: This SoC Needs Verification CPU Subsystem Subsystem A Subsystem B Trade-offs Quality

More information

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS P A D S W H I T E P A P E R w w w. m e n t o r. c o m / p a d s INTRODUCTION Printed Circuit Board design is a

More information

Test and Verification Solutions. Resistance is Futile: Learning to love UVM! Experts In Verification

Test and Verification Solutions. Resistance is Futile: Learning to love UVM! Experts In Verification Test and Verification Solutions Resistance is Futile: Learning to love UVM! Experts In Verification The Verification Challenge Effort Spent On Verification Trend in the percentage of total project time

More information

Steve Chin, Stretch, Inc.

Steve Chin, Stretch, Inc. Improving Team Productivity through Design Data Management in ICstudio Steve Chin, Stretch, Inc. Karim Khalfan, ClioSoft, Inc. Stretch is a fabless semiconductor company that provides software-configurable

More information

Deterministic Modeling and Qualifiable Ada Code Generation for Safety-Critical Projects

Deterministic Modeling and Qualifiable Ada Code Generation for Safety-Critical Projects White Paper Deterministic Modeling and Qualifiable Ada Ada is a time-tested, safe and secure programming language that was specifically designed for large and long-lived applications where safety and security

More information

IC Compiler Comprehensive Place and Route System

IC Compiler Comprehensive Place and Route System Datasheet IC Compiler Comprehensive Place and Route System Overview IC Compiler is the leading place and route system. A single, convergent, chiplevel physical implementation tool, it includes flat and

More information

Achieving ISO Compliance in Silicon (And Beyond?)

Achieving ISO Compliance in Silicon (And Beyond?) Test and Verification Solutions Achieving ISO 26262 Compliance in Silicon (And Beyond?) Mike Bartley, CEO @ TVS mike@testandverification.com 1 Agenda Some background on asuresign ISO26262 And the implication

More information

IoT Demands New Approach to MCU-based Embedded Designs Complex Designs Take Time

IoT Demands New Approach to MCU-based Embedded Designs Complex Designs Take Time IoT Demands New Approach to MCU-based Embedded Designs Modern Development Requires Integrated Hardware/Software Platform One of the toughest challenges the IoT market faces is its impact on embedded system

More information

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT AN INTEL COMPANY WIND RIVER SIMICS Electronic systems are becoming increasingly complex, with more hardware, more software, and more connectivity. Current systems are software intensive, often containing

More information

Virtualizer: Next-Generation Virtual Prototyping. Marc Serughetti Director Product Marketing Virtual Prototyping

Virtualizer: Next-Generation Virtual Prototyping. Marc Serughetti Director Product Marketing Virtual Prototyping Virtualizer: Next-Generation Virtual Prototyping Marc Serughetti Director Product Marketing Virtual Prototyping 1 Introducing Virtualizer: Next-Generation Virtual Prototyping Solution Accelerates software

More information

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration To enhance the level of disclosure we provide and help investors gain better insight into our business, we are providing investors the following financial information: Page Table Description 2 4 1 Revenue

More information

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time Hierarchical Design and Analysis Environment Improve performance and capacity while reducing design time Design Complexity Increasing More and more FPGA designs are Platform designs today Users integrating

More information

DEVELOPMENT AND USE OF SIMULATION TRAINERS FOR PIPELINE CONTROLLERS. D.M. Scott Enbridge Pipe Line Company Edmonton, Alberta, Canada

DEVELOPMENT AND USE OF SIMULATION TRAINERS FOR PIPELINE CONTROLLERS. D.M. Scott Enbridge Pipe Line Company Edmonton, Alberta, Canada IPC2000-254 DEVELOPMENT AND USE OF SIMULATION TRAINERS FOR PIPELINE CONTROLLERS D.M. Scott Enbridge Pipe Line Company Edmonton, Alberta, Canada ABSTRACT Enbridge is in the forefront of development and

More information

Standing up to the semiconductor verification challenge

Standing up to the semiconductor verification challenge 43 Bill Butcher Standing up to the semiconductor verification challenge Companies should seek faster, more cost-effective ways to test the quality of complex system-on-a-chip devices. Aaron Aboagye, Mark

More information

Verifying High Speed Peripheral IPs by Sreekanth Ravindran and Chakravarthi M.G., Mobiveil

Verifying High Speed Peripheral IPs by Sreekanth Ravindran and Chakravarthi M.G., Mobiveil Verifying High Speed Peripheral IPs by Sreekanth Ravindran and Chakravarthi M.G., Mobiveil Abstract High speed serial interconnect bus fabric is the SoC backbone, managing dataflow and keeping up with

More information

Digital Design Methodology (Revisited)

Digital Design Methodology (Revisited) Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

Reinventing Leak Test

Reinventing Leak Test Reinventing Leak Test Leak Test Solutions from the Leader in In-Process Test (IPT) sciemetric.com Solving manufacturing s leak test challenges Many manufacturers say leaks are the #1 issue affecting repairs,

More information

White Paper TimeQuest Timing Analyzer: Native SDC Support for Timing Analysis of FPGA-Based Designs

White Paper TimeQuest Timing Analyzer: Native SDC Support for Timing Analysis of FPGA-Based Designs White Paper TimeQuest Timing Analyzer: Native SDC Support for Timing Analysis of FPGA-Based Designs Introduction The field programmable gate array (FPGA) market has changed significantly in the past few

More information

Tutorial 2A. Practical Exercise: Get Your FPGA Application Up and Running

Tutorial 2A. Practical Exercise: Get Your FPGA Application Up and Running Tutorial 2A. Practical Exercise: Get Your FPGA Application Up and Running December 10, 2008 San José, CA USA December 2008 1 Introductions Lee Goldberg Daniel Platzker Steve Knapp Dave Orecchio Sanjay

More information

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 Powe r Gap Power Budgeting Challenge Design Trends Increasing Power Gap Ref: Cisco Multi-IP, multi-core integration Reduced battery life Ref: Samsung, Asia Tech Forum

More information

ECE 699: Lecture 2. ZYNQ Design Flow

ECE 699: Lecture 2. ZYNQ Design Flow ECE 699: Lecture 2 ZYNQ Design Flow Required Reading The ZYNQ Book Chapter 3: Designing with Zynq ( How do I work with it? ) Xcell Journal Xilinx Unveils Vivado Design Suite for the Next Decade of All

More information

ALLEGRO PCB LIBRARIAN 610

ALLEGRO PCB LIBRARIAN 610 DATASHEET ALLEGRO PCB LIBRARIAN 610 AUTOMATED LIBRARY PART CREATION, VALIDATION, AND MANAGEMENT Cadence Allegro PCB Librarian 610, a 600 series product within the Allegro system interconnect design platform,

More information

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems Frank Schirrmeister ChipVision Design Systems franks@chipvision.com 1. Introduction 1.1. Motivation Well, it happened again. Just when you were about to beat the high score of your favorite game your portable

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Datasheet Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Overview Today s automobiles and trucks include more electronics features and functions than at any

More information

You can plan and execute tests across multiple concurrent projects and people by sharing and scheduling software/hardware resources.

You can plan and execute tests across multiple concurrent projects and people by sharing and scheduling software/hardware resources. Data Sheet Application Development, Test & Delivery Performance Center Micro Focus Performance Center software is an enterprise-class performance engineering software, designed to facilitate standardization,

More information

TouchPoint Sales Solution Sheet

TouchPoint Sales Solution Sheet TouchPoint Sales Solution Sheet September 2016 Communications Center - TouchPoint is a seamless omni-channel contact center solution with streamlined processes for a superior customer journey and a 360

More information

The Manycore Shift. Microsoft Parallel Computing Initiative Ushers Computing into the Next Era

The Manycore Shift. Microsoft Parallel Computing Initiative Ushers Computing into the Next Era The Manycore Shift Microsoft Parallel Computing Initiative Ushers Computing into the Next Era Published: November 2007 Abstract When major qualitative shifts such as the emergence of the graphical user

More information

A lifecycle approach to systems quality: because you can t test in quality at the end.

A lifecycle approach to systems quality: because you can t test in quality at the end. Systems quality management White paper December 2009 A lifecycle approach to systems quality: because you can t test in quality at the end. Moshe S. Cohen, market/offering manager, quality management,

More information

Building smart products: best practices for multicore software development

Building smart products: best practices for multicore software development IBM Software Rational Thought Leadership White Paper Building smart products: best practices for multicore software development 2 Building smart products: best practices for multicore software development

More information

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys. DATASHEET IC Validator High-performance DRC/LVS physical verification substantially reduces time-to-results Overview Synopsys IC Validator is a comprehensive physical verification signoff solution that

More information

Four IoT Platform Must-Haves That Can Accelerate Your IoT Deployment

Four IoT Platform Must-Haves That Can Accelerate Your IoT Deployment Four IoT Platform Must-Haves That Can Accelerate Your IoT Deployment INTRODUCTION Connect Things to Apps with Speed, Ease, and Scale At the center of the Internet of Things is the massive volume of data

More information

Benchmarking Functional Verification by Mike Bartley and Mike Benjamin, Test and Verification Solutions

Benchmarking Functional Verification by Mike Bartley and Mike Benjamin, Test and Verification Solutions Benchmarking Functional Verification by Mike Bartley and Mike Benjamin, Test and Verification Solutions 36 Introduction This article describes asuremark - the Functional verification Capability Maturity

More information

Business Rules Modeling Studio

Business Rules Modeling Studio Business Rules Modeling Studio Highlights Progress Corticon Business Rules Modeling Studio is a standalone desktop environment to model, analyze, test and save business rules as executable decision services.

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

You can plan and execute tests across multiple concurrent projects and people by sharing and scheduling software/hardware resources.

You can plan and execute tests across multiple concurrent projects and people by sharing and scheduling software/hardware resources. Data Sheet Application Development, Test & Delivery Performance Center Micro Focus Performance Center software is an enterprise-class performance engineering software, designed to facilitate standardization,

More information

Reactive Systems, inc

Reactive Systems, inc Reactive Systems, inc Tomorrow s Software Todayr Embedded Software Design Automation November 6, 2001 114 Bleeker St. Port Jefferson, NY 11777 (703) 534-6458 www.reactive-systems.com Copyright c 2000 Reactive

More information

EE-379 Embedded Systems and Applications Introduction

EE-379 Embedded Systems and Applications Introduction EE-379 Embedded Systems and Applications Introduction Cristinel Ababei Department of Electrical Engineering, University at Buffalo Spring 2013 Note: This course is offered as EE 459/500 in Spring 2013

More information

Why NetDimensions Learning

Why NetDimensions Learning Why NetDimensions Learning Quick To Implement Lower overall costs NetDimensions Learning can be deployed faster and with fewer implementation services than almost any other learning system in the market.

More information

Magillem. X-Spec. For embedded Software and Software-driven verification teams

Magillem. X-Spec. For embedded Software and Software-driven verification teams Magillem X-Spec For embedded Software and Software-driven verification teams Get ready for the lot execute your spec Predict the behavior of your smart device Software that streamline your design and documentation

More information

VELOCITY. Innovative AV Control WHITE PAPER

VELOCITY. Innovative AV Control WHITE PAPER WHITE PAPER VELOCITY Innovative AV Control AV integrators and technology managers face a reality with traditional AV control systems: they are costly and time-consuming to install, program, and maintain.

More information

The Internet of Things:

The Internet of Things: The Internet of Things: Transforming Manufacturing Manufacturers must transform their business processes and fundamentally rethink how they create, operate, and service smart, connected products in the

More information

Curtiss-Wright Releases Insights 2.1 Enhanced Multiprocessor System Application Development Software Tool Suite

Curtiss-Wright Releases Insights 2.1 Enhanced Multiprocessor System Application Development Software Tool Suite FOR IMMEDIATE RELEASE June 4, 2008 CONTACT: John Wranovics Curtiss-Wright Controls Embedded Computing (925) 640-6402 mobile jwranovics@curtisswright.com Curtiss-Wright Releases Insights 2.1 Enhanced Multiprocessor

More information

Software Lifecycle Integration Buyer s Guide. Betty Zakheim, VP of Industry Strategy

Software Lifecycle Integration Buyer s Guide. Betty Zakheim, VP of Industry Strategy Betty Zakheim, VP of Industry Strategy Table of Contents Introduction 1 Vendor Criteria 2 Product Capabilities 3 Professional Services and Support 7 Total Cost of Solution 8 Introduction As the leading

More information

Optimizing SiP Test Cost with a Platform Approach

Optimizing SiP Test Cost with a Platform Approach Optimizing SiP Test Cost with a Platform Approach SiP Conferences China 2018 Pearl He APAC Semi BDM Manager National Instruments ni.com Mission Statement NI equips engineers and scientists with systems

More information

020L: Superior SH2A Design Process using Model Based Design (MBD), Virtualization and Hardware-in- Loop (HIL) Systems Lab

020L: Superior SH2A Design Process using Model Based Design (MBD), Virtualization and Hardware-in- Loop (HIL) Systems Lab 020L: Superior SH2A Design Process using Model Based Design (MBD), Virtualization and Hardware-in- Loop (HIL) Systems Lab Renesas Electronics America Inc. Mark Ramseyer Staff Application Engineer 13 October

More information

Mentor Graphics Higher Education Program

Mentor Graphics Higher Education Program Mentor Graphics Higher Education Program Infrastructures for Education EWME Panel Session 5/30/08 Ian Burgess Design for Globalization 2 A Simplified View of the EDA Market Concept to Verified RTL Functional

More information

Testing Solutions for Hyper-Connected Apps

Testing Solutions for Hyper-Connected Apps Testing Solutions for Hyper-Connected Apps Don t let functionality cause testing chaos Andrew Morgan (andrew.morgan@infostretch.com) 2019 Infostretch. All rights reserved. 1 1 Your Speaker: Andrew Morgan

More information

PrimeTime Mode Merging

PrimeTime Mode Merging WHITE PAPER PrimeTime Mode Merging Reducing Analysis Cost for Multimode Designs Author Ron Craig Technical Marketing Manager, Synopsys Introduction As process technologies shrink, design teams can fit

More information

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

VHDL Introduction. EL 310 Erkay Savaş Sabancı University VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored

More information

Automated Black Box Testing Using High Level Abstraction SUMMARY 1 INTRODUCTION. 1.1 Background

Automated Black Box Testing Using High Level Abstraction SUMMARY 1 INTRODUCTION. 1.1 Background Automated Black Box Testing Using High Level Abstraction Dake Song, MIRSE, USA Dr Uli Dobler, FIRSE, Germany Zach Song, EIT, Canada SUMMARY One of the big bottlenecks of modern signalling projects lies

More information

8. Description, Architecture, and Features

8. Description, Architecture, and Features 8. Description, Architecture, and Features H51007-2.3 Introduction HardCopy APEX TM devices extend the flexibility of high-density FPGAs to a cost-effective, high-volume production solution. The migration

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

Data Protection Management (DPM)

Data Protection Management (DPM) Industry Trends and Technology Perspective White Paper Data Protection Management (DPM) A look at the benefits of DPM for timely and effective data protection management By Greg Schulz Founder and Senior

More information

A Virtual Game Changer The Next Generation, Online Management Platform That Helps You Run a Better Business.

A Virtual Game Changer The Next Generation, Online Management Platform That Helps You Run a Better Business. Cloud Solutions A Virtual Game Changer The Next Generation, Online Management Platform That Helps You Run a Better Business. AlarmNet 360 All the Tools You Need. Anywhere You Are. Did you know that the

More information

SAVE MAINFRAME COSTS ZIIP YOUR NATURAL APPS

SAVE MAINFRAME COSTS ZIIP YOUR NATURAL APPS ADABAS & NATURAL SAVE MAINFRAME COSTS ZIIP YOUR NATURAL APPS Reduce your mainframe TCO with Natural Enabler TABLE OF CONTENTS 1 Can you afford not to? 2 Realize immediate benefits 2 Customers quickly achieve

More information

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES Innovation Simplified HCL offers domain-specific hardware engineering and design services in VLSI ASIC, FPGA, and SoC engineering, board design,

More information

January 2014 FLASH INSIGHT. revolv A smart home aggregator that unifies bestin-class solutions into one ecosystem

January 2014 FLASH INSIGHT. revolv A smart home aggregator that unifies bestin-class solutions into one ecosystem January 2014 FLASH INSIGHT revolv A smart home aggregator that unifies bestin-class solutions into one ecosystem Copyright This document is intended for your personal use only. The distribution is permitted

More information

Goodbye Starts & Stops... Hello. Goodbye Data Batches... Goodbye Complicated Workflow... Introducing

Goodbye Starts & Stops... Hello. Goodbye Data Batches... Goodbye Complicated Workflow... Introducing Goodbye Starts & Stops... Hello Goodbye Data Batches... Goodbye Complicated Workflow... Introducing Introducing Automated Digital Discovery (ADD ) The Fastest Way to Get Data Into Review Automated Digital

More information

Xilinx UltraScale MPSoC Architecture

Xilinx UltraScale MPSoC Architecture Xilinx UltraScale MPSoC Architecture The Right Engines for the Right Tasks Ever smarter systems consume increasing amounts of communications and computing bandwidth. There are smarter phones, smarter networks,

More information

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L - 3 FUZING & ORDNANCE SYSTEMS PUBLIC DOMAIN. This document consists of general capabilities information that is not defined as controlled

More information

Agilent Series Portable Logic Analyzers

Agilent Series Portable Logic Analyzers The 16800 Series portable logic analyzers offer advanced measurements for your digital applications at a price that will fit your budget. Agilent 16800 Series Portable Logic Analyzers Measurements that

More information

JourneyApps. Platform. The Competitive Edge In Industrial Digitalization. Copyright of JourneyApps 2018 All Rights Reserved

JourneyApps. Platform. The Competitive Edge In Industrial Digitalization. Copyright of JourneyApps 2018 All Rights Reserved JourneyApps Platform The Competitive Edge In Industrial Digitalization Copyright of JourneyApps 2018 All Rights Reserved 1 Technology Transforms Businesses Every Day. Choose the solution that puts you

More information

Wave IP Business Communications Systems. Powerful. Flexible. Dependable.

Wave IP Business Communications Systems. Powerful. Flexible. Dependable. Wave IP Business Communications Systems Powerful. Flexible. Dependable. Increased Productivity. Lower Costs. Edge 700 phone Wave IP - Applications Inside Vertical s Wave IP Business Communications System

More information

Using Coverage to Deploy Formal in a Simulation World

Using Coverage to Deploy Formal in a Simulation World Using Coverage to Deploy Formal in a Simulation World Vigyan Singhal and Prashant Aggarwal Oski Technology, Inc. {vigyan,prashant}@oskitech.com Abstract. Formal verification technology has today advanced

More information

Teledyne DALSA Industrial Products. Embedded vision for industry

Teledyne DALSA Industrial Products. Embedded vision for industry Teledyne DALSA Industrial Products Embedded vision for industry Agenda Embedded Vision Applications, technologies and implementation choices Embedded Vision for Industry Review of off the shelf solutions

More information

Design Virtualization and Its Impact on SoC Design

Design Virtualization and Its Impact on SoC Design Design Virtualization and Its Impact on SoC Design Advanced SoC projects present the designer with a large number of options for technology, IP, foundation libraries, memory and operating conditions. Finding

More information

Executive Summary. ITSM Moves to the Cloud

Executive Summary. ITSM Moves to the Cloud Executive Summary Many organizations today are using on-premise IT service management (ITSM) solutions. But organizations are increasingly moving to ITSM solutions that are hosted in the cloud for greater

More information

RECEIVABLES360 INTEGRATED RECEIVABLES FOR CORPORATIONS

RECEIVABLES360 INTEGRATED RECEIVABLES FOR CORPORATIONS INTEGRATED RECEIVABLES FOR CORPORATIONS ACCELERATE WORKING CAPITAL AND BETTER MANAGE LIQUIDITY WITH STRAIGHT-THROUGH PROCESSING ACROSS ALL PAYMENT CHANNELS. PAYMENT AGGREGATION EPBB Internet Cash PAYMENT

More information

Mentor Safe IC ISO & IEC Functional Safety

Mentor Safe IC ISO & IEC Functional Safety Mentor Safe IC ISO 26262 & IEC 61508 Functional Alex Grove European Application Engineer Bryan Ramirez Strategic Marketing Manager Automotive Functional Professional Sanjay Pillay Functional Technologist

More information

Understanding the Velostrata technology for mass migrations into Google Cloud Platform

Understanding the Velostrata technology for mass migrations into Google Cloud Platform Understanding the Velostrata technology for mass migrations into Google Cloud Platform Table of Contents Executive overview....................................................................3 Barriers

More information

DATA ACQUISITION PROCESSING AND VISUALIZATION ALL-IN-ONE END-TO-END SOLUTION EASY AFFORDABLE OPEN SOURCE

DATA ACQUISITION PROCESSING AND VISUALIZATION ALL-IN-ONE END-TO-END SOLUTION EASY AFFORDABLE OPEN SOURCE DATA ACQUISITION PROCESSING AND VISUALIZATION ALL-IN-ONE END-TO-END SOLUTION EASY AFFORDABLE OPEN SOURCE FROM INFINITE AUTOMATION SYSTEMS INC WWW.INFINITEAUTOMATION.COM (303) 558-7112 www.infiniteautomation.com

More information

A new approach to verifying and validating medical device development.

A new approach to verifying and validating medical device development. Product development White paper June 2009 A new approach to verifying and Automating product development and compliance processes using IBM Rational software Irv Badr, senior manager, Rational software,

More information

Gaining Competitive Advantage through Consolidated POS Asset Management

Gaining Competitive Advantage through Consolidated POS Asset Management Gaining Competitive Advantage through Consolidated POS Asset Management 1 (5) Table of Contents 1. Executive summary... 3 2. Challenges in retail markets... 3 3. Miradore turns challenges into opportunities...

More information