Computer UCSC. The MASC Group
|
|
- Philippa Cooper
- 6 years ago
- Views:
Transcription
1 Computer UCSC The MASC Group Department of Computer Engineering, University of California Santa Cruz
2 MASC Projects 2
3 MASC Projects 3
4 MASC Projects 4
5 Thermal Measurements & Simulations
6 Measurements Thermal Measurements Performance not the only first order design parameter Energy consumption & thermal issues Power & Temperature must be measured 6
7 Measurements Thermal Measurements AMD 2.2GHz running matrix multiply 7
8 Measurements Our Experimental Setup 8
9 Thermal Infrastructure Applications Extract power maps from a given thermal profile ISCA 07: Power Model Validation Through Thermal Measurements, Francisco J. Mesa-Martinez, Joseph Nayfach-Battilan, and, International Symposium on Computer Architecture (ISCA), June Validate thermal modeling infrastructure ISLPED 09: SOI, Interconnect, Package, and Mainboard Thermal Characterization, Joseph NayfachBattilana and, International Symposium on Low Power Electronics and Design (ISLPED), August 2009 Characterizing processor thermal behavior ASPLOS 10: Characterizing Processor Thermal Behavior, Francisco J. Mesa-Martinez, Ehsan Ardestani, and, Fifteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March Locate hot spots and thermal sensor insights NVIDIA and Sun collaboration 9
10 Measurements NVIDIA G92 Hotspot Characterization
11 Simulations Full Simulation for Multicores 11
12 Complexity Models & Architectural Solutions
13 Design Time Simulation Architectural proposal characteristics Design workflow Engineers 13
14 Small Processor Example 4 Engineers Single Engineer ISQED 11: A Design Time Simulator for Computer Architects, Sangeetha Sudhakrishnan, Francisco J. Mesa-Martinez, and, IEEE International Symposium on Quality Electronic Design (ISQED), March
15 What if we have a Bug Tolerant Processor? 15
16 MURN Project Multi-University Research Network
17 MURN: Sharing Academic Tape-outs NURN Shares: IO, Network, PLL, jtag interface... Common synthesis flow (28nm Catalyst) Common test and bring up infrastructure 17
18 MURN: Shared Die Resources Project led by UCSC (blue boxes) 18
19 st 1 Tape-out 3Q11: 28nm First MURN project Shared infrastructure Ring interconnect network Blocks from UCSC and UCSD Catalyst (28nm GFI) synthesis flow UCSC (Prof. ) 8 simple core 1GHz FPU 2GHz Several basic SCOORE 2GHz UCSD (Prof. Michael Taylor) Android MIPS based multicore C-Cores (Energy efficiency) 19
20 nd 2 Tape-out nd Late 2012 or early 2013: 2 28nm (or 22nm) tape-out UCSC (Prof. and Prof. Matthew Guthaus) SCOORE CMP High speed clocking UCSD (Prof. Michael Taylor) C-Cores android Hardvard (Prof. David Brooks and Prof. Gu-Yeon Wei) Power and gating blocks Cornell (Prof. Christopher Batten) Scalable CMP networks 20
21 Santa Cruz Out-of-Order RISC Engine
22 SCOORE: Main Parameters 12-stage pipeline 4-way superscalar Out-of-Order (256-entry ROB) Memory speculation, load-hit speculation Performance targets: Over 28nm ~1mm2 As complex as top of the line industry cores IBM Power7, Intel i7, AMD K
23 Early Technology Adoption Motivation Early technology adoption is challenging. It can result in low yield Sources of low yield Timing, power, stuck-at faults, catastrophic... Proposal Novel architectures to improve or tolerate low yield 23
24 Yield Improvement Techniques Tandem-like architecture Retry Allow any pipeline stage to change the number of cycles at runtime Redirection and partial power gating Allow to disable defective resources Leverage redundant structures available in processors... 24
25 Other Projects Research: Power Saving Clock and power gating techniques to reduce design overhead Research: Variability Add techniques to tolerate process variability Research: Compilers Simplify parallel programing semantics (no preemption) 25
26 Current Students 26
27 MASC Summary Large computer architecture group 11 PhD and 8 MS students I have interesting open MS thesis, contact me if looking for a topic Several topics in computer architecture with a focus on Power/Thermal Measure, simulate, improve Complexity Design, programing language, tolerate bugs... MURN tape-out a 28nm 27
28 Questions? Department of Computer Architecture University of California Santa Cruz
29 Team Size Impact Increasing time size Decreases design time (not linear) Reduces unpredictability 29
30 Team Size Impact Adding a person late to the project may not be good 30
31 Further Insights with Simulator Simulation follows existing software models 31
32 Complex System Results Sample result for Sun Niagara II processor 40 engineers over 18 months (360 days) 32
33 Sample Utilization Estimate design time for an issue logic proposal SEED [Martinez et al PACT06] Original paper Frequency, area, and power improvements Replaced the Illinois Verilog Model (EV6-like) for SEED 8% total design time increase or 1.5 additional months 33
34 SCOORE Overview Santa Cruz Out-of-Order pipeline 34
Dynamic Thermal Management in Modern Processors
Dynamic Thermal Management in Modern Processors Shervin Sharifi PhD Candidate CSE Department, UC San Diego Power Outlook Vdd (Volts) 1.2 0.8 0.4 0.0 Ideal Realistic 2001 2005 2009 2013 2017 V dd scaling
More informationReducing Power Density through Activity Migration
ISLPED 2003 8/26/2003 Reducing Power Density through Activity Migration Seongmoo Heo, Kenneth Barr, and Krste Asanovi Computer Architecture Group, MIT CSAIL Hot Spots Rapid rise of processor power density
More information8. Description, Architecture, and Features
8. Description, Architecture, and Features H51007-2.3 Introduction HardCopy APEX TM devices extend the flexibility of high-density FPGAs to a cost-effective, high-volume production solution. The migration
More informationVHDL Introduction. EL 310 Erkay Savaş Sabancı University
VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored
More informationCombining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application
Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application Adam Taylor Adiuvo Engineering & Training Ltd Tends in Embedded Vision Ubiquity Applications are wide spread ADAS,
More informationHigh Performance Computing(HPC) & Software Stack
IBM HPC Developer Education @ TIFR, Mumbai High Performance Computing(HPC) & Software Stack January 30-31, 2012 Pidad D'Souza (pidsouza@in.ibm.com) IBM, System & Technology Group 2002 IBM Corporation Agenda
More informationNSF {Program (NSF ) first announced on August 20, 2004} Program Officers: Frederica Darema Helen Gill Brett Fleisch
NSF07-504 {Program (NSF04-609 ) first announced on August 20, 2004} Program Officers: Frederica Darema Helen Gill Brett Fleisch Computer Systems Research Program: Components and Thematic Areas Advanced
More informationPTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors
21st International Conference on VLSI Design PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors Deepa Kannan, Aseem Gupta, Aviral Shrivastava, Nikil D. Dutt, Fadi
More informationPhysically Constrained Architecture for Chip Multiprocessors
Physically Constrained Architecture for Chip Multiprocessors A Dissertation Presented to the faculty of the School of Engineering and Applied Science University of Virginia In Partial Fulfillment of the
More information13. Back-End Design Flow for HardCopy Series Devices
13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.
More informationPower Reduction of Functional Units considering Temperature and Process Variations
21st International Conference on VLSI Design Power Reduction of Functional Units considering Temperature and Process Variations Deepa Kannan, Aviral Shrivastava Compiler and Microarchitecture Laboratory
More informationDelivering High Performance for Financial Models and Risk Analytics
QuantCatalyst Delivering High Performance for Financial Models and Risk Analytics September 2008 Risk Breakfast London Dr D. Egloff daniel.egloff@quantcatalyst.com QuantCatalyst Inc. Technology and software
More informationMark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION
Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»
More informationA Parallel Implementation of the Modus Ponens Inference Rule in a DNA Strand-Displacement System
A Parallel Implementation of the Modus Ponens Inference Rule in a DNA Strand-Displacement System Jack K. Horner P.O. Box 266 Los Alamos NM 87544 USA PDPTA 2013 Abstract Computation implemented in DNA reactions
More informationDynamic Power Gating with Quality Guarantees
Appears in the International Symposium on Low Power Electronics and Design 29 San Francisco, California, August, 29 Dynamic Power Gating with Quality Guarantees Anita Lungu 1, Pradip Bose 2, Alper Buyuktosunoglu
More informationOpportunities Beyond Single-Core Microprocessors
Opportunities Beyond Single-Core Microprocessors HPCA/PPOPP 2009 Panel Mark D. Hill U. of Wisconsin Sarita V. Adve U. of Illinoisi David A. Bader Georgia Tech William Dally Nvidia & Stanford Vivek Sarkar
More informationPerformance monitors for multiprocessing systems
Performance monitors for multiprocessing systems School of Information Technology University of Ottawa ELG7187, Fall 2010 Outline Introduction 1 Introduction 2 3 4 Performance monitors Performance monitors
More informationAdaptive Scheduling for Systems with Asymmetric Memory Hierarchies. Po-An Tsai, Changping Chen, and Daniel Sanchez
Adaptive Scheduling for Systems with Asymmetric Memory Hierarchies Po-An Tsai, Changping Chen, and Daniel Sanchez Die-stacking has enabled near-data processing Die-stacking has enabled near-data processing
More informationManaging Functional Verification Projects
White Paper Managing Functional Verification Projects Meeting the challenges of high-level verification in today s SoCs Kwamina Ewusie, Senior Consulting Manager, Synopsys Professional Services Rajat Mohan,
More information``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster
Virtualizer ``Overview ``The Impact of ``What are Virtual Prototypes? ``Competitive Electronic Products Faster ``Use Virtual Prototyping from Specification to Deployment ``Virtualizer Technical Specification
More informationKun Young Chung Design Technology Team System LSI Samsung Electronics
2012 Test Technology Workshop (Oct. 31, 2012) IDC-System LSI Business 0 Kun Young Chung Design Technology Team System LSI Samsung Electronics An Overview: Test Challenges in 3D ICs Design (Design-for-Test)
More informationDynamic Thermal Management in 3D Multicore Architectures
Dynamic Thermal Management in 3D Multicore Architectures AyseK.Coskun,JoséL.Ayala,DavidAtienza,TajanaSimunicRosing,YusufLeblebici ComputerScienceandEngineeringDept.(CSE),UniversityofCalifornia,SanDiego,USA.
More informationFAULT TOLERANT BIO INSPIRED SELF REPAIRING DIGITAL SYSTEM
FAULT TOLERANT BIO INSPIRED SELF REPAIRING DIGITAL SYSTEM Aparna M. S. and Santhosh Kumar M. S. Sahrdaya College of Engineering, Kodakara, India E-Mail: aparnams31@gmail.com ABSTRACT Self-repairing digital
More informationDEPEI QIAN. HPC Development in China: A Brief Review and Prospect
DEPEI QIAN Qian Depei, Professor at Sun Yat-sen university and Beihang University, Dean of the School of Data and Computer Science of Sun Yat-sen University. Since 1996 he has been the member of the expert
More informationIntroduction to. Hybrid Systems Analog+Digital analog. Hybrid. Reactive Systems. Definition for Embedded Systems. embedded embedded real-time
Definition for Embedded Systems Introduction to Embedded d Computing Embedded systems (ES) = information processing systems embedded into a larger product keyword: a specific function, embedded within
More informationOracle Communications Billing and Revenue Management Elastic Charging Engine Performance. Oracle VM Server for SPARC
Oracle Communications Billing and Revenue Management Elastic Charging Engine Performance Oracle VM Server for SPARC Table of Contents Introduction 1 About Oracle Communications Billing and Revenue Management
More informationProteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET
DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity
More informationCHALLENGES OF EXASCALE COMPUTING, REDUX
CHALLENGES OF EXASCALE COMPUTING, REDUX PAUL MESSINA Argonne National Laboratory May 17, 2018 5 th ENES HPC Workshop Lecce, Italy OUTLINE Why does exascale computing matter? The U.S. DOE Exascale Computing
More informationFORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C
FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com
More informationWIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT
AN INTEL COMPANY WIND RIVER SIMICS Electronic systems are becoming increasingly complex, with more hardware, more software, and more connectivity. Current systems are software intensive, often containing
More informationTechnical Viability of Stacked Silicon Interconnect Technology
Technical Viability of Stacked Silicon Interconnect Technology Dr. Handel H. Jones Founder and CEO, IBS Inc. Los Gatos, California October 2010 TECHNICAL VIABILITY OF STACKED SILICON INTERCONNECT TECHNOLOGY
More informationOverview. Design flow. Back-end process. FPGA design process. Conclusions
ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job
More informationNBTI-Aware Dynamic Instruction Scheduling
NBTI-Aware Dynamic Instruction Scheduling Taniya Siddiqua and Sudhanva Gurumurthi Department of Computer Science University of Virginia Charlottesville, VA 2294 {taniya, gurumurthi}@cs.virginia.edu Abstract
More informationHot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor
Hot Chips-18 Design of a Reusable 1GHz, Superscalar ARM Processor Stephen Hill Consulting Engineer ARM - Austin Design Centre 22 August 2006 1 Outline Overview of Cortex -A8 (Tiger) processor What is reusability
More informationThermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California
Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California www.gradient-da.com 3/19/2008 2008 Gradient Design Automation 1 Electronic
More informationL-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016
L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L - 3 FUZING & ORDNANCE SYSTEMS PUBLIC DOMAIN. This document consists of general capabilities information that is not defined as controlled
More informationResearch Article Thermal-Aware Scheduling for Future Chip Multiprocessors
Hindawi Publishing Corporation EURASIP Journal on Embedded Systems Volume 27, Article ID 48926, 15 pages doi:1.1155/27/48926 Research Article Thermal-Aware Scheduling for Future Chip Multiprocessors Kyriakos
More informationresearch & development HPC & cloud project management innovations web & mobile solutions
HPC & cloud research & development project management web & mobile solutions innovations 4PM - more than just project management software Introducing 4PM 4PM brings the power of portfolio project management
More informationSaving Energy with Just In Time Instruction Delivery
Saving Energy with Just In Time Instruction Delivery Tejas Karkhanis Univ. of Wisconsin - Madison 1415 Engineering Drive Madison, WI 53706 1+608-265-3826 karkhani@ece.wisc.edu James E Smith Univ. of Wisconsin
More informationMicroprocessor Pipeline Energy Analysis
Microprocessor Pipeline Energy Analysis Karthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger Computer Architecture and Technology Laboratory Department of Computer Sciences
More informationThe Need for a Full-Chip and Package Thermal Model for Thermally Optimized IC Designs
The Need for a Full-Chip and Package Thermal Model for Thermally Optimized IC Designs Wei Huang, Eric Humenay, Kevin Skadron, and Mircea R. Stan Charles L. Brown ECE Department, University of Virginia,
More informationVENKATRAMAN GOVINDARAJU
VENKATRAMAN GOVINDARAJU Amazon Web Services Cell: (608) 217-6100 2100 University Avenue venkatra@cs.wisc.edu Palo Alto, CA 94303 http://cs.wisc.edu/ venkatra Research Interests Architecture, compilers,
More informationThermal Management with Asymmetric Dual Core Designs ; CU-CS
University of Colorado, Boulder CU Scholar Computer Science Technical Reports Computer Science Spring 5-1-2003 Thermal Management with Asymmetric Dual Core Designs ; CU-CS-965-03 Soraya Ghiasi University
More informationBTB Access Filtering: A Low Energy and High Performance Design
BTB Access Filtering: A Low Energy and High Performance Design Shuai Wang, Jie Hu, and Sotirios G. Ziavras Depment of Electrical and Computer Engineering New Jersey Institute of Technology Newark, NJ 72
More informationANSYS HPC ANSYS, Inc. November 25, 2014
ANSYS HPC 1 ANSYS HPC Commitment High Performance Computing (HPC) adds tremendous value to your use of simulation enabling enhanced Insight Engineering productivity ANSYS is focused on HPC Major innovations
More informationDigital Design Methodology (Revisited)
Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec
More informationIC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.
DATASHEET IC Validator High-performance DRC/LVS physical verification substantially reduces time-to-results Overview Synopsys IC Validator is a comprehensive physical verification signoff solution that
More informationWhy Tools Matter. Todd Austin. A long time ago, in a place far away. University of Michigan. ISPASS Conference, April 2008
Why Tools Matter Todd Austin University of Michigan ISPASS Conference, April 2008 A long time ago, in a place far away 1 My Experience: SimpleScalar Tool Set Computer system design and analysis infrastructure
More informationScalability Wins! A Multicore Success Story. Matthias Pruksch
Scalability Wins! A Multicore Success Story Matthias Pruksch Contents sepp.med Real World Application Introduction Performance Conclusions Activities and Plans Summary 2 sepp.med (1 / 3) Medium-Sized Company
More informationDoes ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM
Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM 1 Key Trends A typical verification challenge... big.little heterogeneous multicore APPS APPS Increasing complexity
More informationA specific application in the oil-refining industry performed during the preliminary engineering phase
A specific application in the oil-refining industry performed during the preliminary engineering phase Objectives: - To provide analytical information to support decisions related to attaining desired
More informationDesign for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems
Frank Schirrmeister ChipVision Design Systems franks@chipvision.com 1. Introduction 1.1. Motivation Well, it happened again. Just when you were about to beat the high score of your favorite game your portable
More informationBalancing Soft Error Coverage with. Lifetime Reliability in Redundantly. Multithreaded Processors
Balancing Soft Error Coverage with Lifetime Reliability in Redundantly Multithreaded Processors A Thesis Presented to the faculty of the School of Engineering and Applied Science University of Virginia
More informationUCTalent Platform. HireOnline and JDOnline Implementation Proposal. Contents
UC San Diego Human Resources 10280 N. Torrey Pines Road, Suite 265A La Jolla, CA 92037 PHONE: (858) 822 2583 April 4 th, 2013 HireOnline and JDOnline Implementation Proposal Prepared For: UC Santa Barbara
More informationBuilding smart products: best practices for multicore software development
IBM Software Rational Thought Leadership White Paper Building smart products: best practices for multicore software development 2 Building smart products: best practices for multicore software development
More informationAltera s Roadmap. Looking Forward Altera Corporation
Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera
More informationTABLE OF CONTENTS CHAPTER NO. TITLE PAGE NO. ABSTRACT LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS
viii TABLE OF CONTENTS ABSTRACT LIST OF TABLES LIST OF FIGURES LIST OF SYMBOLS AND ABBREVIATIONS v xviii xix xxii 1. INTRODUCTION 1 1.1 MOTIVATION OF THE RESEARCH 1 1.2 OVERVIEW OF PROPOSED WORK 3 1.3
More informationEarly Prediction of Product Performance and Yield Via Technology Benchmark
Early Prediction of Product Performance and Yield Via Technology Benchmark Choongyeun Cho 1, Daeik D. Kim 1, Jonghae Kim 2, Daihyun Lim 1, Sangyeun Cho 3 1 IBM, 2 Qualcomm, 3 U. Pittsburgh Background Process
More informationSystem-level Co-simulation of Integrated Avionics Using Polychrony durch Klicken bearbeiten
CESAR - Cost-efficient methods and processes for safety relevant embedded systems System-level Co-simulation Formatvorlage des Untertitelmasters of Integrated Avionics Using Polychrony durch Klicken bearbeiten
More informationXCHANGE PUEDE EL PUESTO DE FRUTA DEL MERCADO. José F. Martínez AYUDAR A MI CPU? Image: Britt Wikimedia
PUEDE EL PUESTO DE FRUTA DEL MERCADO AYUDAR A MI CPU? Image: Britt Reints @ Wikimedia José F. Martínez http://csl.cornell.edu/~martinez MY VERSION OF MOORE S LAW Moore s Law Image: Greudin@Wikimedia Image:
More informationUsing Hardware Performance Counters on the Cray XT
Using Hardware Performance Counters on the Cray XT Luiz DeRose Programming Environment Director Cray Inc. ldr@cray.com University of Bergen Bergen, Norway March 11-14, 2008 Luiz DeRose (ldr@cray.com) Cray
More informationIBM Server Group eliza: Building an intelligent infrastructure for e-business.
IBM Server Group eliza: Building an intelligent infrastructure for e-business. Technology for a self-managing server environment Yesterday s fantasies are today s necessities Your information technology
More informationIBM xseries 430. Versatile, scalable workload management. Provides unmatched flexibility with an Intel architecture and open systems foundation
Versatile, scalable workload management IBM xseries 430 With Intel technology at its core and support for multiple applications across multiple operating systems, the xseries 430 enables customers to run
More informationModel-based system engineering for safety analysis of. complex systems
Model-based system engineering for safety analysis of complex systems MBSAW 12 Nataliya YAKYMETS, Hadi JABER, Agnès LANUSSE CEA, LIST, Laboratory of Model-Driven Engineering for Embedded Systems 11 Septembre
More informationIBM Storage Area Network and Fibre Array Storage Technology Central management, access and storage for business-critical information
IBM Storage Area Network and Fibre Array Storage Technology Central management, access and storage for business-critical information Executive Summary A storage area network (SAN) is a separate network
More informationMicroprocessor Pipeline Energy Analysis: Speculation and Over-Provisioning
Microprocessor Pipeline Energy Analysis: Speculation and Over-Provisioning Karthik Natarajan Heather Hanson Stephen W. Keckler Charles R. Moore Doug Burger Department of Electrical and Computer Engineering
More informationReal-Time and Embedded Systems
Real-Time and Embedded Systems (CUGS Course) Petru Eles and Zebo Peng Embedded Systems Laboratory (ESLAB) Linköping University www.ida.liu.se/~zebpe/teaching/rtes Course Organization Module I System-Level
More informationRethinking SoC Verification Enabling Next-Generation Productivity & Performance
White Paper Rethinking SoC Verification Enabling Next-Generation Productivity & Performance March 214 Rebecca Lipon Senior Product Marketing Manager, Synopsys Introduction The introduction of the iphone
More informationADVANCED VLSI COURSE IN PHYSICAL DESIGN
ADVANCED VLSI COURSE IN PHYSICAL DESIGN Course covers all advanced topics as prescribed by industry requirements Address: #11, 1st Floor, JCR Tower, Anantha Ram Reddy Layout, Behind Vinyaka Skoda Showroom,
More informationMarkScanTrack Solution
MarkScanTrack Solution Powered By White Paper 2015 1 Deploying Mobile Applications Buy, Develop, or Configure? As organizations face the demands to deploy meaningful mobile applications, there are critical
More informationHigh Level Tools for Low-Power ASIC design
High Level Tools for Low-Power ASIC design Arne Schulz OFFIS Research Institute, Germany 1 Overview introduction high level power estimation µprocessors ASICs tool overview µprocessors ASICs conclusion
More informationNEXT- GENERATION BLADE-BASED ARCHITECTURE for GOES SENSOR PROCESSING SYSTEM (SPS)
10A.4 90th American Meteorological Society (AMS) Annual Conference NEXT- GENERATION BLADE-BASED ARCHITECTURE for GOES SENSOR PROCESSING SYSTEM (SPS) Subir Vasanth 1, Abhishek Agarwal 1, Shahram Tehranian
More informationEmerging Workload Performance Evaluation on Future Generation OpenPOWER Processors
Emerging Workload Performance Evaluation on Future Generation OpenPOWER Processors Saritha Vinod Power Systems Performance Analyst IBM Systems sarithavn@in.bm.com Agenda Emerging Workloads Characteristics
More informationBuilding Big Data Processing Systems under Scale-Out Computing Model
Keynote I Building Big Data Processing Systems under Scale-Out Computing Model Xiaodong Zhang Robert M. Critchfield Professor in Engineering Department of Computer Science and Engineering The Ohio State
More informationKey Electronic Products Driving Notable DFT Methodologies
Key Electronic Products Driving Notable DFT Methodologies May 2016 Kurian Varghese DFT Applications Engineer Key Test Technology Milestones Provided Universal Benefits Scan Design, circa 1980 Enabled broad
More informationThe Manycore Shift. Microsoft Parallel Computing Initiative Ushers Computing into the Next Era
The Manycore Shift Microsoft Parallel Computing Initiative Ushers Computing into the Next Era Published: November 2007 Abstract When major qualitative shifts such as the emergence of the graphical user
More informationSCALABLE DYNAMIC ADAPTIVE RESOURCE MANAGEMENT IN MULTICORE ARCHITECTURES
SCALABLE DYNAMIC ADAPTIVE RESOURCE MANAGEMENT IN MULTICORE ARCHITECTURES José F. Martínez http://csl.cornell.edu/~martinez José F. Martínez. Unauthorized distribution prohibited. 1 MY VERSION OF MOORE
More informationRealize Your Product Promise. High-Performance Computing
Realize Your Product Promise High-Performance Computing For today s product designs, simulations are larger and more numerically complex than ever. Meshes are finer, more geometric detail is required,
More informationAnalysis of Functional Unit Power Gating in Embedded Processors
Analysis of Functional Unit Power Gating in Embedded Processors Houman Homayoun and Amirali Baniasadi ECE Department, University of Victoria, Victoria, Canada {homayoun, amirali}@ece.uvic.ca ABSTRACT In
More informationBrain Inspired Semiconductor Device Technology
US-KOREA NANO FORUM SEOUL, SEPTEMBER 26, 2016 Brain Inspired Semiconductor Device Technology Byoung Hun Lee Director, Center for Emerging Electronic Materials and Systems (CEEDS) School of Material Science
More informationThermal-Aware Scheduling for. Chip Multiprocessors
Thermal-Aware Scheduling for Chip Multiprocessors Abstract: The increased complexity and operating frequency in current microprocessors is resulting in a decrease in the performance improvements. Consequently,
More informationMulticore Power Management: Ensuring Robustness via Early-Stage Formal Verification
Appears in the 3rd Workshop on Dependable Architectures (WDA-3) In Conjunction with the 41st International Symposium on Microarchitecture (MICRO-41) Lake Como, Italy, November 2008 Multicore Power Management:
More informationTO MEMBERS OF THE FINANCE AND CAPITAL STRATEGIES COMMITTEE: DISCUSSION ITEM EXECUTIVE SUMMARY BACKGROUND
Office of the President TO MEMBERS OF THE FINANCE AND CAPITAL STRATEGIES : For Meeting of UCPATH UPDATE DISCUSSION ITEM EXECUTIVE SUMMARY This report provides a brief update on the recently-completed UCPath
More informationStarRC Custom Parasitic extraction for next-generation custom IC design
Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)
More informationPhysically-Aware Analysis of Systematic Defects in Integrated Circuits
1 Physically-Aware Analysis of Systematic s in Integrated Circuits Wing Chiu Tam, ECE Dept., Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, PA 15213; Email: wtam@andrew.cmu.edu; Advisor: Prof.
More informationDiploma Thesis. Energy-Efficient Scheduling for Multi-Core Processors
Universität Karlsruhe (TH) Institut für Betriebs- und Dialogsysteme Lehrstuhl Systemarchitektur Diploma Thesis Energy-Efficient Scheduling for Multi-Core Processors The System Architecture Group Prof.
More informationOracle Production Scheduling. Maximize shop floor throughput and optimize resource utilization
Oracle Production Scheduling Maximize shop floor throughput and optimize resource utilization Typical Scheduling Challenges How can you: Sequence orders to best use your production resources? Offload production
More informationPlatform-Based Design of Heterogeneous Embedded Systems
Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August
More informationORACLE COMMUNICATIONS SELFRELIANT
SELFRELIANT SERVICE-AVAILABILITY MIDDLEWARE WITH CARRIER-GRADE PERFORMANCE KEY BENEFITS Complete, integrated availability management, distributed messaging, and embedded systems management facilitate 99.999
More informationPlatform-Based Design of Heterogeneous Embedded Systems
Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August
More informationVirtualizing Enterprise SAP Software Deployments
Virtualizing SAP Software Deployments A Proof of Concept by HP, Intel, SAP, SUSE, and VMware Solution provided by: The Foundation of V Virtualizing SAP Software Deployments A Proof of Concept by HP, Intel,
More informationThe Evolution of Planning Software
The Evolution of Planning Software Get it done Faster. July 29, 2015 Imagination at work Software Products Concorda Software Suite MAPS PSLF MARS Evaluates power system economics and impact of congestion
More informationIntegrating MATLAB Analytics into Enterprise Applications
Integrating MATLAB Analytics into Enterprise Applications David Willingham 2015 The MathWorks, Inc. 1 Run this link. http://bit.ly/matlabapp 2 Key Takeaways 1. What is Enterprise Integration 2. What is
More informationPhilip Simpson. FPGA Design. Best Practices for Team-based Design
FPGA Design 5 Philip Simpson FPGA Design Best Practices for Team-based Design Philip Simpson Altera Corporation San Jose, CA 95134 USA Feilmidh@sbcglobal.net ISBN 978-1-4419-6338-3 e-isbn 978-1-4419-6339-0
More informationMulticore Power Management: Ensuring Robustness via Early-Stage Formal Verification
Appears in the Seventh ACM-IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 09) Cambridge, MA, July, 2009 Multicore Power Management: Ensuring Robustness via Early-Stage
More informationThe Green Index: A Metric for Evaluating System-Wide Energy Efficiency in HPC Systems
The Green Index: A Metric for Evaluating System-Wide Energy Efficiency in HPC Systems Balaji Subramaniam and Wu-chun Feng Department of Computer Science Virginia Tech {balaji, feng}@cs.vt.edu Abstract
More informationSHARED ON-CHIP RESOURCES
Page 1 of 27 SHARED ON-CHIP RESOURCES IBM Blue Gene/Q Shared power budget Shared cache Shared memory pins Shared interconnect Source: IBM Page 2 of 27 COORDINATED RESOURCE ALLOCATION Global allocation
More informationSome big: good... many small: better!
Some big: good... many small: better! Introduction: towards multi-problem and multi-core Challenges for new generation of applications Effective and flexible exploitation of new platform capabilities Adaptability
More informationECE 699: Lecture 2. ZYNQ Design Flow
ECE 699: Lecture 2 ZYNQ Design Flow Required Reading The ZYNQ Book Chapter 3: Designing with Zynq ( How do I work with it? ) Xcell Journal Xilinx Unveils Vivado Design Suite for the Next Decade of All
More informationHardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements
Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements By Amit Dua, Adam Sherer, and Umer Yousafzai Cadence Design Systems Because of its flexibility, hardware simulator
More information