Key Electronic Products Driving Notable DFT Methodologies

Size: px
Start display at page:

Download "Key Electronic Products Driving Notable DFT Methodologies"

Transcription

1 Key Electronic Products Driving Notable DFT Methodologies May 2016 Kurian Varghese DFT Applications Engineer

2 Key Test Technology Milestones Provided Universal Benefits Scan Design, circa 1980 Enabled broad use of structural test IEEE (JTAG), circa 1990 Provided standard access to test capabilities ATPG Compression, circa 2000 Kept test costs in line with overall product costs 2

3 Need for Targeted Test Strategies Growing design complexities driving need for specialized test solutions to maximize effectiveness Two notable segments needing attention: 3 Automotive ICs Giga-gate Designs

4 Automotive ICs Test Challenges and Unique Solutions 4

5 A New Automotive Era Coming Years Technology and electronics explosion 20 th Century Incremental Mechanical Improvements 5

6 Fastest Growing Market Segment Automotive Comm Ind/Med All ICs Computer Consumer IC Market Growth by Application ( CAGR) 0% 2% 4% 6% 8% Source: IC Insights 6

7 Automotive IC Suppliers Increasingly Diverse Field 13.2% Others 46.8% 8.9% 9.6% 11.5% 10.0% Many new players beyond traditional automotive suppliers Source: IC Insights data for

8 What s the Impact to Semiconductors? Devices must meet quality, reliability & safety requirements Driven by standards like ISO & AEC 100 Key requirements Zero DPM In-field Self-Test Field return analysis 8

9 Tessent Solutions for Automotive Zero DPM In-Field Self-Test Field Return Analysis 9

10 Tessent Solutions for Automotive Zero DPM In-Field Self-Test Field Return Analysis 10

11 Cell-Aware ATPG Traditional ATPG uses fault models that do not target defects inside each cell Cell-aware ATPG improves detection of defects internal to standard cells Electrical defects mapped to cell-level transistor models Spice simulation maps fault effects to Cell-Aware fault model ATPG engine targets Cell-Aware faults Spice Simulation D0 D1 ATPG logical view Z D2 S 0 S 1 D 0 D 1 D 2 Z S0 S x x x x 1 x 1 x x 0 0 x 1 x x x 0 x x 1 x 1 CA Model 11

12 Cell-Aware Results Customer Technology Wafer / Package Test 350 nm 32 nm 28 nm 1 Million parts tested Additional test fallout 114 DPPM Over 50 Million parts tested 32nm 880 DPPM 28nm 1500 DPPM 130 nm 4 Million parts tested Unique CAT test fallout Over 20 companies 350 nm down to less than 28nm Over 100 Million parts tested with high additional test fallout 12

13 Customer Activity Won Bob Madge Innovation Award at last year s ITC Close to a dozen customers already using this technology Over a dozen published joint papers with customers International Test Conference DATE conference European Test Symposium Asian Test Symposium ISTFA Conference Transactions of CAD Cell-aware Experiences in a High-Quality Automotive Test Suite M.Beck 1, F.Hapke 2, R.Arnold 1 M.Baby 1, S.Straehle 1, J.F.Goncalves 1, A.Panait 1, R.Behr 1, G.Maugard 1, A.Prashanthi 1, J.Schloeffel 2, W.Redemund 2, A.Glowatz 2, A.Fast 2, J.Rajski 2 1 Cell-aware Production Test Results from a 350nm Automotive Design Friedrich Hapke 1, Marek Hustava 2 Juergen Schloeffel 1, Vilem Bucek 2, Wilfried Redemund 1, Pieterjan Vyncke 2, Anja Fast 1, Radek Pospisil 2, Janusz Rajski

14 Tessent Solutions for Automotive Very low DPM In-Field Self-Test Field Return Analysis 14

15 Logic Test Solution Targeting Safety Critical Applications Manufacturing In-System High quality (0 DPM) test Power-on self-test 15

16 Hybrid TK/LBIST Integrated ATPG compression and LBIST (both IP and flow) Enables maximum optimization of defect coverage vs. test time Addresses both manufacturing and in-system test needs 16

17 Power-On Self-Test POST key for addressing ISO requirements Programmable POST solution P2S conversion of instructions/data from memory Data for the BIST registers provided via the IJTAG network Complete flexibility on how the BIST controllers are run during POST session 17

18 Customer Feedback Over 20 customers already using this solution The combination of compressed scan test and logic BIST gives Renesas a high-quality solution for both production test and Power- On Self-Test, which is required by the ISO standard in the automotive industry, 18

19 Tessent Solutions for Automotive Very low DPM In-Field Self-Test Field Return Analysis 19

20 Layout-Aware Diagnosis: Improves Resolution and Accuracy Logic diagnosis Layout-aware diagnosis The search area is reduced to 11% or less of the original area Y.-J. Chang, et.al. (MGC, UMC, AMD), Experiences with Layout-Aware Diagnosis, EDFA Magazine, May 2010 This directly results in more die becoming suitable for PFA M. Sharma, et.al. (MGC, TSMC, AMD), Layoutaware Diagnosis Leads to Efficient and Effective Physical Failure Analysis, ISTFA

21 Cell-Aware Diagnosis: Transistor Level Diagnosis Improve resolution to defect locations inside cells Works for all pattern types Leverages cell-aware fault model Internal defects mapped to input excitation conditions Data collection and diagnosis flow identical to traditional layout-aware diagnosis ITC 2012 ATS

22 Customer Feedback Several automotive customers using these solutions 85% reduction in root cause cycle time Using the statistical analysis features of Tessent YieldInsight we are able to identify yield issues in days as well as determining the impact of process modifications. Davide Appello, STMicroelectronics ST, ISTFA 2011 From 10% to ~100% FA success rate Tool helped identify exact location of failure on layout and coordinates for debugging PFA candidates. Close to 100% success on PFA. Avadh Tibrawal, Cypress Semiconductor Cypress, U2U

23 Giga-Gate Designs Test Challenges and Unique Solutions 23

24 Unique Challenges for Giga-gate Designs ATPG run time Multiple weeks to months not uncommon for large designs Often in critical path to tapeout Memory footprint Very large memory footprint limits machine availability Test pattern volume Directly impacts test (and product) cost 24

25 Tessent Solutions for Giga-Gate Designs ATPG run time Hierarchical ATPG TestKompress & EDT Test Points ATPG memory footprint Test pattern volume 25

26 Hierarchical ATPG Divide-and-conquer approach to break down the overall ATPG task into smaller, more manageable pieces Patterns are first generated for each design core in isolation. Patterns are then automatically retargeted to the chip level and merged to minimize test time 26

27 Hierarchical ATPG Main Benefits Significant reduction in run times Significant reduction in compute resources Reduction in pattern volume Allows block-level ATPG early in flow Takes ATPG out of critical path 27

28 Hierarchical ATPG Typical Customer Results 5X+ reduction in ATPG runtime >5X reduction in CPU memory required Saved 100 s of hours in gate-level simulation 50% reduction in pattern count 28

29 General business information TM Customer Feedback Over 15 customers already using hierarchical ATPG solution Moving to Mentor s Tessent hierarchical ATPG flow has allowed us to significantly reduce turnaround time on current designs. Because this solution is highly scalable, we expect to continue using it on our future designs Evelyn Landman, VP Engineering, Mellanox Technologies Hierarchical DFT Implementation at Freescale Israel Shlomi Sde-Paz Freescale Israel J a n

30 TestKompress & EDT Test Points Improving Test Compression Unlike traditional test points that target test coverage improvements, EDT Test Points target compression 30

31 Impact of EDT Test Points Average 2-4X improvement in compression On top of the average TestKompress base compression of 85X 31

32 Customer Feedback Over a dozen customers already using EDT Test Points We ve not seen a block that doesn t benefit in terms of pattern count reduction from EDT Test Points. 32 EDT Test Points results were presented to our CTO and the technology was approved for use on all production devices in our design center With the 4X reduction we re seeing from EDT Test Points, we don t see any issues with data volume for the next 5-6 years.

33 Summary Increasing design complexities require targeted test solutions for maximum effectiveness Automotive ICs require very high quality and reliability driven by ISO standard Giga-gate designs pushing limits of ATPG runtime and memory usage Tessent provides unique solutions to address these new challenges 33

34 THANK YOU!

Kun Young Chung Design Technology Team System LSI Samsung Electronics

Kun Young Chung Design Technology Team System LSI Samsung Electronics 2012 Test Technology Workshop (Oct. 31, 2012) IDC-System LSI Business 0 Kun Young Chung Design Technology Team System LSI Samsung Electronics An Overview: Test Challenges in 3D ICs Design (Design-for-Test)

More information

Mentor Safe IC ISO & IEC Functional Safety

Mentor Safe IC ISO & IEC Functional Safety Mentor Safe IC ISO 26262 & IEC 61508 Functional Alex Grove European Application Engineer Bryan Ramirez Strategic Marketing Manager Automotive Functional Professional Sanjay Pillay Functional Technologist

More information

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys. DATASHEET IC Validator High-performance DRC/LVS physical verification substantially reduces time-to-results Overview Synopsys IC Validator is a comprehensive physical verification signoff solution that

More information

Expanding the Reach of Formal. Oz Levia November 19, 2013

Expanding the Reach of Formal. Oz Levia November 19, 2013 Expanding the Reach of Formal Oz Levia November 19, 2013 Agenda Jasper Our Product Strategy and Apps Design Coverage App What will it mean to you? Page 2 2013, Jasper Design Automation All Rights Reserved.

More information

DFM Challenges and Practical Solutions in 65nm and 45nm

DFM Challenges and Practical Solutions in 65nm and 45nm DFM Challenges and Practical Solutions in 65nm and 45nm NS Nagaraj, Michael Smayling, Ban P. Wong, INTRODUCTION UCSD and Blaze DFM, Inc. abk@ucsd.edu http://vlsicad.ucsd.edu/ Agenda 0930-1000 Introduction

More information

Cost and Benefit Models for Logic and Memory BIST

Cost and Benefit Models for Logic and Memory BIST Cost and Benefit Models for Logic and Memory BIST Juin-Ming Lu and Cheng-Wen Wu Lab. for Reliable Computing (LARC) Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan 3003

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2016 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Alternatives to Vertical Probing

Alternatives to Vertical Probing Alternatives to Vertical Probing Philip W. Seitzer Distinguished Member of Technical Staff Equipment Engineering & Development Lucent Technologies, Allentown, PA 6/4/00 1 Outline Vertical Probing Background

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation March 2015 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation November 2015 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division Analog Semiconductor Leaders Forum Dongbu HiTek s Analog Manufacturing Competitiveness Shaunna Black SVP Manufacturing Division Introduction Dongbu HiTek Manufacturing Division One of the Top 5 Semiconductor

More information

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT)

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) To enhance the quality control and achieve the zero defect target for automotive grade parts, we need

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013 The Role of Wafer Foundries in Next Generation Packaging David McCann, VP Packaging R+D May 28, 2013 Page 1 Solutions are Increasingly Silicon-Based RF moves from QFN to wlcsp Driven by footprint and cost

More information

Intel s Technology and Manufacturing Leadership. Brian Krzanich Senior Vice President General Manager, Manufacturing & Supply Chain Intel Corporation

Intel s Technology and Manufacturing Leadership. Brian Krzanich Senior Vice President General Manager, Manufacturing & Supply Chain Intel Corporation Intel s Technology and Manufacturing Leadership Brian Krzanich Senior Vice President General Manager, Manufacturing & Supply Chain Intel Corporation Risk Factors Today s presentations contain forward-looking

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics Until recently, the semiconductor industry religiously

More information

Physically-Aware Analysis of Systematic Defects in Integrated Circuits

Physically-Aware Analysis of Systematic Defects in Integrated Circuits 1 Physically-Aware Analysis of Systematic s in Integrated Circuits Wing Chiu Tam, ECE Dept., Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, PA 15213; Email: wtam@andrew.cmu.edu; Advisor: Prof.

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation August 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation May 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

13. Back-End Design Flow for HardCopy Series Devices

13. Back-End Design Flow for HardCopy Series Devices 13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

Thought Leadership From the IT Trendz BLOG, IBM Systems Magazines and Destination z

Thought Leadership From the IT Trendz BLOG, IBM Systems Magazines and Destination z Thought Leadership From the IT Trendz BLOG, IBM Systems Magazines and Destination z 2018 ECC Conference June 17-19 at Marist College Joseph Gulla, Ph.D. joseph.g.gulla@gmail.com Abstract In this presentation,

More information

RecruitPlus. Bringing new wave of Automation to Recruitment process. RecruitPlus Resume Parser. ITCONS e Solutions Pvt. Ltd.

RecruitPlus. Bringing new wave of Automation to Recruitment process. RecruitPlus Resume Parser. ITCONS e Solutions Pvt. Ltd. RecruitPlus Bringing new wave of Automation to Recruitment process RecruitPlus Resume Parser ITCONS e Solutions Pvt. Ltd. An Overview RecruitPlus Resume Parser RecruitPlus Resume Parser Windows Application

More information

Pre- and Post-Si Validation Challenges Intel Annual Symposium VLSI CAD and Validation

Pre- and Post-Si Validation Challenges Intel Annual Symposium VLSI CAD and Validation Pre- and Post-Si Validation Challenges Intel Annual Symposium VLSI CAD and Validation Yossi Schenkler IDC GM and Director of Microprocessor Product Dev. June 2008 Page 1 2 Intel Israel on the map Intel

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017 Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs David W. Price, Ph.D. Robert J. Rathert April, 2017 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability

More information

Lessons Learned in Deploying Part Average Testing in a Production Environment

Lessons Learned in Deploying Part Average Testing in a Production Environment The World Leader in High Performance Signal Processing Solutions Lessons Learned in Deploying Part Average Testing in a Production Environment Kieran Horgan, Analog Devices Philippe Lejeune, Galaxy Semiconductor

More information

Shifting Sands. Semiconductor foundries were. The Future for Semiconductor Foundries. By Enrique Duarte Melo and David Michael

Shifting Sands. Semiconductor foundries were. The Future for Semiconductor Foundries. By Enrique Duarte Melo and David Michael Shifting Sands The Future for Semiconductor Foundries By Enrique Duarte Melo and David Michael New dynamics are combining with historical determinants of success to reshape the semiconductor sector. The

More information

Power-Aware Test Generation with Guaranteed Launch Safety for At-Speed Scan Testing

Power-Aware Test Generation with Guaranteed Launch Safety for At-Speed Scan Testing 2 29th IEEE VLSI Test Symposium Power-Aware Test Generation with Guaranteed Launch Safety for At-Speed Scan Testing. Wen, K. Enokimoto, K. Miyase, Y. Yamato, M. A. Kochte,2, S. Kajihara, P. Girard 3, and

More information

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC 2018 Strategic Cost and Price Model Scotten W, Jones President - IC Knowledge LLC sjones@icknowledge.com Overview IC Knowledge s flagship cost and price model. The only model of its kind in the industry.

More information

July 11, Axcelis Technologies, Inc.

July 11, Axcelis Technologies, Inc. July 11, 2017 Axcelis Technologies, Inc. Safe Harbor Statement This presentation and discussion contain forward-looking statements, including our expectations for future revenues, expense reductions, profits,

More information

Layout-Aware Pseudo-Functional Testing for Critical Paths Considering Power Supply Noise Effects

Layout-Aware Pseudo-Functional Testing for Critical Paths Considering Power Supply Noise Effects Layout-Aware Pseudo-Functional Testing for Critical Paths Considering Power Supply Noise Effects Xiao Liu, Yubin Zhang, Feng Yuan, and Qiang Xu CUhk REliable computing laboratory (CURE) Department of Computer

More information

inemi Test and Inspection TIG

inemi Test and Inspection TIG inemi Test and Inspection TIG August 21, 2018 Recording (available up to 6 months after webinar) https://inemi.webex.com/inemi/ldr.php?rcid=b515f6993fd3fa1dc3abbfefebcfe4a6 inemi Technology Integration

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

GTC Using GPUs to Speedup Chip Verification. Tomer Ben-David, VP R&D

GTC Using GPUs to Speedup Chip Verification. Tomer Ben-David, VP R&D GTC-2012 Using GPUs to Speedup Chip Verification Tomer Ben-David, VP R&D The Verification Bottleneck Long verification process 66% of designs, verification takes 50% of the design cycle In ~40% of projects,

More information

Renew, Retire, Replace

Renew, Retire, Replace Renew, Retire, Replace How the mask equipment industry can transform its products and become healthy again F. Kalk Toppan Photomasks, Inc. 1 Problem statement The market for semiconductor chips is expanding

More information

RTL Market Trends 2015 Opportunities in RTL RTL Market Trends 2015 Opportunities in RTL CONSULTING IN ELECTRONIC DESIGN TABLE OF CONTENTS Page Title 1... Introduction 2014 RTL and Below Market Overview

More information

INVESTOR PRESENTATION

INVESTOR PRESENTATION INVESTOR PRESENTATION Rafi Amit, Chairman & CEO Moshe Eisenberg, CFO January 2017 Parts of almost all of today s latest devices have been made with the help of Camtek SAFE HARBOR The information presented

More information

RoodMicrotec. be the Leading Independent European Company for Semiconductor Supply and Quality Services. RoodMicrotec - Rev. 3

RoodMicrotec. be the Leading Independent European Company for Semiconductor Supply and Quality Services. RoodMicrotec - Rev. 3 Corporate Overview RoodMicrotec be the Leading Independent European Company for Semiconductor Supply and Quality Services. RoodMicrotec - Rev. 3 www.roodmicrotec.com 2 RoodMicrotec A Global Supplier to

More information

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster Virtualizer ``Overview ``The Impact of ``What are Virtual Prototypes? ``Competitive Electronic Products Faster ``Use Virtual Prototyping from Specification to Deployment ``Virtualizer Technical Specification

More information

Trends in Testing Integrated Circuits

Trends in Testing Integrated Circuits Trends in Testing Integrated Circuits Bart Vermeulen Camelia Hora Bram Kruseman Erik Jan Marinissen Robert van Rijsinge Philips Research Laboratories Prof. Holstlaan 4, 5656 AA Eindhoven The Netherlands

More information

A Site Observation Directed Test Pattern Generation Method for Reducing Defective Part Level

A Site Observation Directed Test Pattern Generation Method for Reducing Defective Part Level A Site Observation Directed Test Pattern Generation Method for Reducing Defective Part Level Michael R. Grimaila, Sooryong Lee, Jennifer Dworak, M. Ray Mercer, and Jaehong Park Department of Electrical

More information

Status USCAR project

Status USCAR project Status USCAR project Automotive strategies for EOS problem resolution OEM s: FCA US, Ford, GM Tier1 s: Bosch, Continental, Nexteer Semi s: Infineon, NXP, Renesas Status: April 2017 USCAR EOS Initiative

More information

Computer UCSC. The MASC Group

Computer UCSC. The MASC Group Computer Architecture @ UCSC The MASC Group Department of Computer Engineering, University of California Santa Cruz http://masc.cse.ucsc.edu MASC Projects 2 MASC Projects 3 MASC Projects 4 Thermal Measurements

More information

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Herb Reiter eda 2 asic Consulting, Inc. IMAPS, Oct 9 12 & MEPTEC, Nov 13, 2017 Herb@eda2asic.com IMAPS 50 th

More information

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com

More information

Automotive Safety and Security in a Verification Continuum Context

Automotive Safety and Security in a Verification Continuum Context Automotive Safety and Security in a Verification Continuum Context Accelerating the Development of Automotive Electronic Systems Jean-Marc Forey Automotive Functional Safety Professional Synopsys Inc.

More information

Oerlikon Components Enabling Information Technology

Oerlikon Components Enabling Information Technology Oerlikon Components Enabling Information Technology Kurt Trippacher, CEO Oerlikon Components & Head of Oerlikon Esec Oerlikon Capital Market Days 28 Zurich, September 25-26, 28 Disclaimer This presentation

More information

1. Explain the architecture and technology used within PLDs. 2. Compare PLDs with alternative devices. 3. Use PLD design tools.

1. Explain the architecture and technology used within PLDs. 2. Compare PLDs with alternative devices. 3. Use PLD design tools. Higher National Unit Specification General information for centres Unit code: DG52 35 Unit purpose: This Unit is designed to enable candidates to gain some knowledge and understanding of the principles

More information

8. Description, Architecture, and Features

8. Description, Architecture, and Features 8. Description, Architecture, and Features H51007-2.3 Introduction HardCopy APEX TM devices extend the flexibility of high-density FPGAs to a cost-effective, high-volume production solution. The migration

More information

Meeting the New Challenges of Test

Meeting the New Challenges of Test Pintail Technologies, Inc. Meeting the New Challenges of Test Southwest Test Workshop June 8, 2005 www.pintail.com Micky Ray Program Manager micky.ray@pintail.com What are the challenges? Cost of test

More information

Digital VLSI Design. Lecture 1: Introduction

Digital VLSI Design. Lecture 1: Introduction Digital VLSI Design Lecture 1: Introduction Semester A, 2018-19 Lecturer: Dr. Adam Teman 20 October 2018 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied

More information

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro:Chia-Tso Chao TA:Szu-Pang Mu Chien Hsueh Lin 2015/05/26

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro:Chia-Tso Chao TA:Szu-Pang Mu Chien Hsueh Lin 2015/05/26 Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN Pro:Chia-Tso Chao TA:Szu-Pang Mu Chien Hsueh Lin 2015/05/26 Outline Introduction Dftadvisor Fastscan Mix Flow Lab Outline Introduction DFTADVISOR

More information

FUNDAMENTAL SAFETY OVERVIEW VOLUME 2: DESIGN AND SAFETY CHAPTER G: INSTRUMENTATION AND CONTROL

FUNDAMENTAL SAFETY OVERVIEW VOLUME 2: DESIGN AND SAFETY CHAPTER G: INSTRUMENTATION AND CONTROL PAGE : 1 / 14 SUB CHAPTER G.6 I&C PROCEDURES AND TOOLS 1. STANDARD I&C SYSTEM This section describes the tools used for PAS/SAS (level 1 automation data) and MCP[PICS] (HMI) I&C programming. It includes

More information

Technical Viability of Stacked Silicon Interconnect Technology

Technical Viability of Stacked Silicon Interconnect Technology Technical Viability of Stacked Silicon Interconnect Technology Dr. Handel H. Jones Founder and CEO, IBS Inc. Los Gatos, California October 2010 TECHNICAL VIABILITY OF STACKED SILICON INTERCONNECT TECHNOLOGY

More information

Contemporary Design for Testability Guidelines for Circuit Boards and Systems

Contemporary Design for Testability Guidelines for Circuit Boards and Systems Contemporary Design for Testability Guidelines for Circuit Boards and Systems Louis Y. Ungar President Advanced Test Engineering Solutions Andrew Levy Vice President, Business Development Ridgetop Group

More information

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics 12 INTRODUCTION With ASIC complexity on the increase and unrelenting time-to-market

More information

Innovative and Integrated Machine Vision Systems

Innovative and Integrated Machine Vision Systems Innovative and Integrated Machine Vision Systems www.argutec.eu What is Machine Vision? Machine Vision is a name commonly used for industrial systems that automatically process image from cameras. Then

More information

Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014

Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014 Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014 Nikhil Dakwala ndakwala@broadcom.com Broadcom Corporation, Irvine, CA 3/30/2014 1 Presentation Outline Terms and acronyms Need

More information

Synopsys Third Quarter Fiscal Year 2018 Earnings Conference Call Prepared Remarks Wednesday, August 22, 2018

Synopsys Third Quarter Fiscal Year 2018 Earnings Conference Call Prepared Remarks Wednesday, August 22, 2018 These prepared remarks contain forward-looking statements within the meaning of Section 21E of the Securities Exchange Act of 1934. Any statements that are not statements of historical fact may be deemed

More information

Investor Presentation March Quarter 2014

Investor Presentation March Quarter 2014 Investor Presentation March Quarter 2014 Safe Harbor Statement In addition to historical statements, this presentation and oral statements made in connection with it may contain statements relating to

More information

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs HX5000 Design Flow and Infrastructure Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs Overview Radiation-hardened application specific integrated circuits (ASICs) can now achieve extremely

More information

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing Nangate 45nm Open Cell Library Jesper Knudsen VP Marketing 12 th Si2/OpenAccess+ Conference, April 16 th, 2008 Presentation Outline Why did Nangate release an Open Cell Library? Why is Library control

More information

Jack Weast. Principal Engineer, Chief Systems Engineer. Automated Driving Group, Intel

Jack Weast. Principal Engineer, Chief Systems Engineer. Automated Driving Group, Intel Jack Weast Principal Engineer, Chief Systems Engineer Automated Driving Group, Intel From the Intel Newsroom 2 Levels of Automated Driving Courtesy SAE International Ref: J3061 3 Simplified End-to-End

More information

Building smart products: best practices for multicore software development

Building smart products: best practices for multicore software development IBM Software Rational Thought Leadership White Paper Building smart products: best practices for multicore software development 2 Building smart products: best practices for multicore software development

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

T3Ster. Thermal Transient Tester General Overview.

T3Ster. Thermal Transient Tester General Overview. T3Ster Thermal Transient Tester General Overview M E C H A N I C A L A N A L Y S I S www.mentor.com T3Ster - Thermal Transient Tester - General Overview SAFEGUARDING AGAINST TEMPERATURE RELATED PERFORMANCE

More information

A C Q U I S I T I O N O F S I L E G O T E C H N O L O G Y I N C.

A C Q U I S I T I O N O F S I L E G O T E C H N O L O G Y I N C. A C Q U I S I T I O N O F S I L E G O T E C H N O L O G Y I N C. O c t o b e r 5, 2 0 1 7 personal portable connected Forward Looking Statement This presentation contains forward-looking statements that

More information

An Oracle White Paper January Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs

An Oracle White Paper January Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs An Oracle White Paper January 2013 Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs Executive Summary... 2 Deploy Services Faster and More Efficiently... 3 Greater Compute

More information

New Packaging Technology Qualification Methodology

New Packaging Technology Qualification Methodology New Packaging Technology Qualification Methodology Project Leaders: Feng Xue - IBM Curtis Grosskopf - IBM Call for Participation Webinar July 10/11, 2017 inemi Staff: M. Tsuriya Agenda Introduction of

More information

Next Generation Design with NX

Next Generation Design with NX Next Generation Design with NX Generative Design, Additive Manufacturing & Multidisciplinary Design PLM Connections Berlin 2017 Bob Haubrock - Senior VP Product Engineering Solutions Paul Bevan - PES Marketing

More information

Translation in the 21 st Century

Translation in the 21 st Century Translation in the 21 st Century January 1954 Tower of Babel Divide & Unite Language as Instinct Translation is very difficult October 2004 Reality Check TAUS founded. Let a Thousand MT Systems Bloom!

More information

Enea Pioneering Software Development. Per Åkerberg, President and CEO October 22nd, 2009

Enea Pioneering Software Development. Per Åkerberg, President and CEO October 22nd, 2009 Enea Pioneering Software Development Per Åkerberg, President and CEO October 22nd, 2009 Agenda Corporate Overview Highlights & Financials 2009 Market Outlook & Strategy Leader in Communications Software

More information

T3Ster. Thermal Transient Tester General Overview.

T3Ster. Thermal Transient Tester General Overview. T3Ster Thermal Transient Tester General Overview M e c h a n i c a l a n a l y s i s Safeguarding Against Temperature Related Performance Problems The relationship between high temperature and poor performance

More information

T3Ster. Thermal Transient Tester General Overview.

T3Ster. Thermal Transient Tester General Overview. T3Ster Thermal Transient Tester General Overview M e c h a n i c a l a n a l y s i s Safeguarding Against Temperature Related Performance Problems The relationship between high temperature and poor performance

More information

Dallas, Texas / May 18-21, Welcome

Dallas, Texas / May 18-21, Welcome Dallas, Texas / May 18-21, 2015 Welcome Realize innovation. Zvika Weissman / SPLM SW / MFG. Eng. SW Solutions / A&D Industry Lead Aerospace & Defense Manufacturing - Right the First Time Realize innovation.

More information

Software Quality Engineering Courses Offered by The Westfall Team

Software Quality Engineering Courses Offered by The Westfall Team Building Skills is a 3-day course that is a subset of our course. The course is designed to provide a fundamental knowledge base and practical skills for anyone interested in implementing or improving

More information

Seamless Application Security: Security at the Speed of DevOps

Seamless Application Security: Security at the Speed of DevOps White Paper Security Seamless Application Security: Security at the Speed of DevOps Table of Contents page The Current Application Security Problem...1 These Problems Will Only Continue to Grow...1 Why

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

Enabling Bigger Waves of Growth

Enabling Bigger Waves of Growth Enabling Bigger Waves of Growth Dan Durn Chief Financial Officer 2017 ANALYST DAY External Use Forward-Looking Statements and Other Information This presentation contains forward-looking statements, including

More information

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Datasheet Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Overview Today s automobiles and trucks include more electronics features and functions than at any

More information

Software Quality Engineering Courses Offered by The Westfall Team

Software Quality Engineering Courses Offered by The Westfall Team Courses is a 2-day course that is a subset of our course. The course is designed to provide an overview of techniques and practices. This course starts with an overview of software quality engineering

More information

Collaboration as a Way forward for Semiconductor Technology Albany NanoTech. Albany Innovation Conference

Collaboration as a Way forward for Semiconductor Technology Albany NanoTech. Albany Innovation Conference Albany Innovation Conference April 2013 Collaboration as a Way forward for Semiconductor Technology Albany NanoTech Dr. Gary Patton Vice President, IBM Semiconductor Research & Development Center IEEE

More information

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California www.gradient-da.com 3/19/2008 2008 Gradient Design Automation 1 Electronic

More information

Fan-Out Packaging Technologies and Markets Jérôme Azémar

Fan-Out Packaging Technologies and Markets Jérôme Azémar Fan-Out Packaging Technologies and Markets Jérôme Azémar Senior Market and Technology Analyst at Yole Développement Outline Advanced Packaging Platforms & Market drivers Fan-Out Packaging Principle & Definition

More information

Trusted by more than 150 CSPs worldwide.

Trusted by more than 150 CSPs worldwide. RAID is a platform designed for Communication Service Providers that want to leverage their data assets to improve business processes and gain business insights, while at the same time simplify their IT

More information

GSI Technology. High Performance Memory for Leading-Edge Technology

GSI Technology. High Performance Memory for Leading-Edge Technology GSI Technology High Performance Memory for Leading-Edge Technology Didier Lasserre, Vice President Sales and Investor Relations Doug Schirle, CFO January, 2019 SAFE HARBOR The statements contained in this

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro: Chia-Tso Chao TA: Yu-Teng Nien

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro: Chia-Tso Chao TA: Yu-Teng Nien Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN Pro: Chia-Tso Chao TA: Yu-Teng Nien 2017-05-14 Outline Introduction Dftadvisor Fastscan Mix Flow Lab 2 Outline Introduction DFTADVISOR FASTSCAN

More information

Design Virtualization and Its Impact on SoC Design

Design Virtualization and Its Impact on SoC Design Design Virtualization and Its Impact on SoC Design Advanced SoC projects present the designer with a large number of options for technology, IP, foundation libraries, memory and operating conditions. Finding

More information

Maxim Integrated Global Failure Analysis (FA)

Maxim Integrated Global Failure Analysis (FA) Maxim Integrated Global Failure Analysis (FA) Vision Empower industry leading technologies, protect product brand promises, and be the competitive advantage for Maxim Integrated. Mission Deliver timely,

More information

Oracle Real-Time Decisions (RTD) Ecommerce Interaction Management Use Case

Oracle Real-Time Decisions (RTD) Ecommerce Interaction Management Use Case Oracle Real-Time Decisions (RTD) Ecommerce Interaction Management Use Case Nicolas Bonnet Senior Director Product Management Oracle Business Intelligence The following is intended

More information

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

VHDL Introduction. EL 310 Erkay Savaş Sabancı University VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored

More information

Global and China Wafer Foundry Industry Report, Sep. 2014

Global and China Wafer Foundry Industry Report, Sep. 2014 Global and China Wafer Foundry Industry Report, 2013-2014 Sep. 2014 STUDY GOAL AND OBJECTIVES This report provides the industry executives with strategically significant competitor information, analysis,

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

Listening To the Voice of Your Product

Listening To the Voice of Your Product Listening To the Voice of Your Product White paper www.optimalplus.com LISTENING TO THE VOICE OF YOUR PRODUCT Much has been said and written about the business values of the Industrial Internet of Things

More information

Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure

Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure Sathya Kanth Vardhanapu Fall 2015 1 Counterfeiting of Integrated Circuits Counterfeit Electronic part is defined by U.S. Dept.

More information