A World Class Specialty Materials Company

Size: px
Start display at page:

Download "A World Class Specialty Materials Company"

Transcription

1 SEMICON KOREA MEDIA BRIEFING A World Class Specialty Materials Company Richard Hong President, Entegris Korea

2 AGENDA 01 A World Class Specialty Materials Company 02 Entegris in Korea 03 Q&A 04 Meeting Close Celebrating our 50 th year in business! 2

3 YIELD-ENHANCING MATERIALS SOLUTIONS Entegris provides yield-enhancing materials and solutions for the most advanced manufacturing environments through a unique combination of technology breadth and market focus that is unmatched in its industry Advanced Chemicals Filtration and Purification Materials Handling Selected peers and competitors 3

4 GLOBAL INFRASTUCTURE WITH LOCAL R&D AND FIELD SUPPORT SERVICES Entegris is close in proximity to its customers for collaboration and access to services U.S.-based company, FY2016 sales of $1.2 billion, 3,500 full-time employees, publicly traded company (NASDAQ: ENTG) 4

5 A TOP-TIER MATERIALS SUPPLIER Customer Value Proposition Enabling higher yields, improved performance, reduced cost of ownership Technology Portfolio Global Responsiveness Operational Excellence Creating value by leveraging best-in-class technology with a global infrastructure and a relentless focus of operational excellence 5

6 ACHIEVING WORLD-CLASS QUALITY 6 Global Quality Complaint Index Sigma Level Sigma Level H16 Entegris quality levels are approaching six sigma best in class Note: Index reflects numbers of customer complaints 6

7 A ROBUST NEW PRODUCT PIPELINE Strong discipline around managing R&D spending and project performance tracking R&D as % of Sales 272 Active R&D Projects 12% 10% 8% Derivatives Technology/Breakthrough 6% 4% 2% 0% A focus on technology/breakthrough projects that yield new products Platform 7

8 KEY OPPORTUNITIES TO EXPAND SHARE AND SERVED MARKET Entegris has a rich pipeline of development projects Top 5 Representative Opportunities Market Share Next Application SAM Expansion Bulk photochemical filtration Boron mixtures for implant Solid precursors for deposition Specialized coatings for key applications CMP pad conditioners 8

9 INCREASED IMPORTANCE OF SPECIALTY MATERIALS Increasing Numbers of Materials are Being Used to Make Semiconductors Device Performance Drivers Use of new innovative materials are having a greater impact on device performance Relative Impact on Device Performance Node in nm Scaling Design Materials 9

10 BROADEST TECHNOLOGY PORTFOLIO IN OUR SEGMENT Liquid Filtration Advanced Cleans Wafer Handling Specialty Gases Fluid Handling Gas Purification Specialty Materials Deposition Materials 10

11 COMPREHENSIVE, COMPLEMENTARY TECHNOLOGIES TO SOLVE COMPLEX YIELD ISSUES Entegris has a unique combination of technology, deep applications knowledge and critical materials science know-how Implant Lithography Deposition Dry Etch Wet Clean CMP Fab Facility Safe Gas Delivery Systems Advanced Photoresist Packaging CVD/ALD Precursors Formulated Cleans Formulated Cleans Formulated Cleans Advanced FOUPs Electrostatic Chucks Filtration and Dispense Copper Plating Gas Filters and Diffusers Liquid Filtration Liquid Filtration AMC Filtration Gas Purification Gas/Liquid Purification Gas Filtration and Purification Gas Purification Fluid Handling and Sensing Pad Conditioners Bulk Chemical Delivery Specialty Gases Reticle Pods Fluid Handling and Sensing CVD Precursors and Specialty Coatings Containers Fluid Handling and Sensing Wafer Shippers Advanced Chemicals Filtration and Purification Materials Handling 11

12 UNMATCHED COMBINATION OF BREADTH OF SOLUTIONS AND INDUSTRY FOCUS Entegris has the broadest solutions set of specialty chemicals, filtration and materials handling solutions in its markets Selected Competitors Liquid Filtration Advanced Cleans Deposition Materials Specialty Gases Gas Purification Specialty Materials Wafer Handling Fluid Handling 12

13 ENSURING PURITY ACROSS THE SUPPLY CHAIN Example: Entegris has solutions to achieve purity of photochemicals from production to consumption Manufacturing 14 days cycle time Transportation 45 days cycle time materials + contamination control + packaging = yield, cost, safety and performance Inventory 60 days cycle time Tool 1 day cycle time Sub-fab 7 days cycle time Contamination can occur at multiple points in a chemical s journey to the wafer 13

14 WHY WHAT WE DO MATTERS Helping to achieve the most demanding levels of purity possible Next-generation semiconductor manufacturing requires purity levels measured in parts per quadrillion (PPQ) San Francisco bay has ~6.165 billion cubic meters of water A PPQ would be equal to finding 1 minnow in the entire San Francisco bay 14

15 50 YEARS OF PROVIDING MISSION CRITICAL SOLUTIONS 50 Years 1966 Fluoroware Founded 1981 EMPAK Founded 1999 EMPAK and Fluoroware merged; new entity called Entegris 2000 Entegris IPO 2005 Entegris merges with Mykrolis 2008 Poco Graphite acquired 2014 ATMI acquired Entegris Revenue $1,250,000 $1,000,000 $750,000 $500,000 $250,000 $ Note: Entegris founded as Fluoroware in Company became Entegris in All data is as reported. 15

16 SEMICON KOREA MEDIA BRIEFING Entegris in Korea ENTEGRIS PROPRIETARY AND CONFIDENTIAL

17 ENTEGRIS IN KOREA Delivering value to Korea customers since 1990 Four locations and 200 employees State-of-the-art facilities World-class solution development Top talent and equipment Customer co-development models Local access and support Reduced lead times Increased response times Local capabilities include: Sales, customer service, marketing, engineering, manufacturing and R&D 17

18 ENTEGRIS IN KOREA Manufacturing excellence Wonju Facility Size: 3,650 square meters Employees: 42 Products: Fluid management components 2016 focus: Expansion of ESD tube extrusion capability 2017 focus: Capacity and lead time improvement aligned with market needs 18

19 ENTEGRIS IN KOREA Wet chemistries and gas purification Manufacturing excellence JangAn Facility Size: 11,000 square meters Employees: 50 Products: Implant gases: SDS and VAC gas cylinder production Wet chemistries: PlanarClean AG for post-cmp wafer cleaning at advanced nodes GateKeeper Gas Purification System (GPS) production 2016 focus: Add GPS production 2017 focus: Achieve high-volume manufacturing of additional cleans products and add capabilities to produce specialty coatings products Office Implant (SDS/VAC) Deposition (future) 19

20 ENTEGRIS IN KOREA TTC - RD Formulation Development Lab Korea Technology Center (KTC) Size: 400 square meters, with cleanroom Employees: 14 Capabilities Wet chemistry development lab Deposition chemistry development lab Formulation scale-up (pilot production) On-site metrology and real-time reporting 2016 focus: Expand employee base and equipment 2017 focus: Customer engagement and product launches for advanced nodes 20

21 ENTEGRIS IN KOREA Our on-going commitment Providing a breadth of technologies Rapid innovation for advanced processes Continued expansion of local customer service and sales support Continued collaboration with customers to solve complex challenges Create task force for driving greater purity in our solutions Internal/external education on purity and its effect on yield Benchmarking for success stories/bkms Focus on new solutions in Korea Localization JangAn and Wonju capabilities R&D focus Specialty chemistries and filters 21

22 NEW PRODUCT ANNOUNCEMENT GateKeeper Gas Purification System Announcing our latest platform for gas purification Helps improve wafer yields for advanced semiconductor and LED processes Offers best-in-class purification with a small footprint Removes contaminants at a parts-per-trillion level and at a variety of flow rates It can purify many process stream gases at a much smaller footprint, including Nitrogen, Hydrogen, Hydrogen for EUV, Argon, Ammonia and Clean, Dry Air (CDA) Systems provide automated regeneration of purifiers New purifier media allows improved systems to offer best-in-class outlet purity New manufacturing for these systems has been installed in our JangAn facility for local and regional support See the new system at our booth! 22

23 ENTEGRIS AT SEMICON KOREA Stop by our Booth #5504, Hall D See our product display areas for more information on leading technologies designed to maximize process efficiency and yield 23

24 Entegris, the Entegris Rings Design, Pure Advantage, SDS, VAC, PlanarClean and GateKeeper are trademarks of Entegris, Inc Entegris, Inc. All rights reserved. SEMICON is a trademark of Semiconductor Equipment and Materials International Corporation. All other names are trademarks of their respective companies. 24 Media Briefing - SEMICON Taiwan

25 25 APPENDIX

26 DEFECTS AT ADVANCED NODES Defects Size Histogram Black Box Inspection limitation of 14 nm devices Requires >7 nm defect sizes for 14 nm device (50% of device pitch) Black Box getting bigger (now with 40% of 14 nm devices) Key Challenges Finding and reducing these defects Gas/chemical materials are the only way to prevent these defects Def. counts Small defects Sources NVD Technology Gap Inspection Technology Gap Res. vs. T-put Gap Def. size: nm BF & DF Insp. Gap Limited visibility to contaminants with smaller geometries at advanced nodes 26

27 EXAMPLE GAS FILTER CONSUMPTION RATES Consumption-Rate Comparison Filter & Purifier Gas Filter Consumption for 7 years (2010~2016.Sep) Company A: 54,642 E/A Company B: 12,976 E/A Gas Filter Top Total Total 2010~2016.Sep 5,358 4,894 6,250 7,568 11,712 10,979 7,881 54,642 3,785 2,895 2,115 1, ,976 41,666 67,618 WG2FT1RR2 2,455 1,926 1,834 2,144 2,768 2,033 1,776 14,936 1,707 2,512 1,470 1, ,482 6,454 23,418 WG2FT1SS2 2,255 2,491 2,689 2,618 3,674 4,449 2,369 20, ,008 21,082 WGPM0GGG2 1,112 1,888 4,429 4,032 3,199 14, ,489 14,831 WGPM0GGT ,563 1,563 1,563 WG3NS3RF ,404 WGMXMBRR , ,335-1,334 1,336 WG3NS1RR Gap (A-B) Grand Total Gas Purifier Consumption for 6 years (2011~2016.Sep) Need to consider gas filter replacement frequency Gas purification for moisture removal Entegris can support to analyze filter replacement frequency 27

SOLAR APPLICATIONS. Enabling you to increase throughput, cell efficiencies and yields

SOLAR APPLICATIONS. Enabling you to increase throughput, cell efficiencies and yields SOLAR APPLICATIONS Enabling you to increase throughput, cell efficiencies and yields Table of Contents Why Entegris?...3 Entegris in Solar Applications...6 Entegris in c-silicon Manufacturing...7 Chemical

More information

Dave Jarzynka. Brooks Semiconductor Solutions Group

Dave Jarzynka. Brooks Semiconductor Solutions Group Dave Jarzynka Brooks Semiconductor Solutions Group 1 Agenda The business today Growth drivers 2 2016 Brooks Automation, Inc. Proprietary Information Semiconductor Group at a Glance FY15 Revenue Breakdown

More information

BUEE 2013 AMC countermeasure in future high tech Fabs

BUEE 2013 AMC countermeasure in future high tech Fabs M+W High Tech Projects Taiwan Co., Ltd. A Company of the M+W Group November 29 th, 2013 Michael Gall BUEE 2013 AMC countermeasure in future high tech Fabs AMC- countermeasure in future Fabs 1. AMC definitions

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Chemical Mechanical Planarization. Process control Cleanliness Uptime optimization Uniformity

Chemical Mechanical Planarization. Process control Cleanliness Uptime optimization Uniformity Chemical Mechanical Planarization Process control Cleanliness Uptime optimization Uniformity Chemical Mechanical Planarization CMP or Chemical Mechanical Planarization in semiconductor and hard disk drive

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Our unique, sustainable attributes

Our unique, sustainable attributes Investor overview At Texas Instruments, our focus is on building a better future as we design, make and sell semiconductors. We have about 100,000 customers all over the world who use our chips. For more

More information

Good afternoon, welcome to our annual shareholder meeting. I am Lee Rudow, President and CEO of Transcat and I will provide an overview of the

Good afternoon, welcome to our annual shareholder meeting. I am Lee Rudow, President and CEO of Transcat and I will provide an overview of the 1 Good afternoon, welcome to our annual shareholder meeting. I am Lee Rudow, President and CEO of Transcat and I will provide an overview of the current state of Transcat an our direction for FY17 and

More information

SEMICONDUCTOR INDUSTRY EHS

SEMICONDUCTOR INDUSTRY EHS SEMICONDUCTOR INDUSTRY EHS AGENDA CURRENT & FUTURE ISSUES POINT-OF-USE (POU) DEVICE INFORMATION EXPECTATIONS FOR POU DEVICE SUPPLIERS PFC S (GLOBAL WARMING) IN APRIL, 1999, THE WORLD SEMICONDUCTOR COUNCIL

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION YIELD ENHANCEMENT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Royal Philips Electronics. Alan Cathcart Senior Vice President

Royal Philips Electronics. Alan Cathcart Senior Vice President Royal Philips Electronics Alan Cathcart Senior Vice President Forward Looking Statements Forward Looking Statements This document contains certain forward-looking statements with respect to the financial

More information

GENE EXPRESSION REAGENTS MARKETS (SAMPLE COPY, NOT FOR RESALE)

GENE EXPRESSION REAGENTS MARKETS (SAMPLE COPY, NOT FOR RESALE) TriMark Publications April 2007 Volume: TMRGER07-0401 GENE EXPRESSION REAGENTS MARKETS (SAMPLE COPY, NOT FOR RESALE) Trends, Industry Participants, Product Overviews and Market Drivers TABLE OF CONTENTS

More information

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire

More information

FOR SEMICONDUCTORS 2005 EDITION

FOR SEMICONDUCTORS 2005 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION YIELD ENHANCEMENT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Infosys, Sourcing & Procurement BPO Procurement outsourcing

Infosys, Sourcing & Procurement BPO Procurement outsourcing Agenda Introduction Infosys, Sourcing & Procurement BPO Procurement outsourcing The Caterpillar experience About Caterpillar Caterpillar s Purchasing organization Outsourcing journey Benefits, Challenges

More information

Cardinal Health overview and strategic priorities

Cardinal Health overview and strategic priorities Cardinal Health overview and strategic priorities Steve Inacker President, Channel Management Medical Segment Copyright 2011, Cardinal Health, Inc. or one of its subsidiaries. All rights reserved. Cardinal

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

<Insert Picture Here>

<Insert Picture Here> Oracle and SPL - Acquisition Announcement Delivering the most complete, integrated end-to-end packaged solution to meet the unique needs of the Utilities industry Customer and Partner

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Multipoint Sampling for AMC Monitoring. Version 01. Rev 01.

Multipoint Sampling for AMC Monitoring. Version 01. Rev 01. T E C H N I C A L P A P E R Multipoint Sampling for AMC Monitoring Version 01. Rev 01. Multipoint Sampling for AMC Monitoring by Peter Maguire, VP of Sales-Asia Pacific, Monitoring for any type of contamination

More information

A Journey in Global PUPSIT Implementation with Benchmarking. PDA Midwest Event Oct 5th, 2017 Jeff Gaerke P.E.

A Journey in Global PUPSIT Implementation with Benchmarking. PDA Midwest Event Oct 5th, 2017 Jeff Gaerke P.E. A Journey in Global PUPSIT Implementation with Benchmarking PDA Midwest Event Oct 5th, 2017 Jeff Gaerke P.E. Purpose Share learning on ongoing global implementation of PUPSIT for parenteral operations.

More information

Outlook for the Future Cleanrooms and Contamination Control Requirements for Pharma and µelectronic

Outlook for the Future Cleanrooms and Contamination Control Requirements for Pharma and µelectronic Outlook for the Future Cleanrooms and Contamination Control Requirements for Pharma and µelectronic TUEV SUED Cleancert H. Weißsieker Future of µelectronic Critical Dimension in Semiconductor Technologies

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

NANOCHEM Purifiers. Complete Purification Solutions with

NANOCHEM Purifiers. Complete Purification Solutions with Complete Purification Solutions with NANOCHEM Purifiers Since 1985, MATHESON NANOCHEM purifiers have provided unprecedented purification solutions to the electronic industry. NANOCHEM purifiers were the

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

IPA Quality Forum Reflections on the Journey so far

IPA Quality Forum Reflections on the Journey so far IPA Quality Forum Reflections on the Journey so far India Pharmaceutical Forum 2018 23rd Feb 2018 The IPA Quality forum was formed with a vision of helping the Indian pharma industry achieve excellence

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

New Cardinal Health (Post-Spin)

New Cardinal Health (Post-Spin) New Cardinal Health (Post-Spin) George Barrett Vice Chairman and Chief Executive Officer Healthcare Supply Chain Services and Chief Executive Officer Cardinal Health, Inc. (post-spin) January 14, 2009

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

4/26. Analytics Strategy

4/26. Analytics Strategy 1/26 Qlik Advisory As a part of Qlik Consulting, Qlik Advisory works with Customers to assist in shaping strategic elements related to analytics to ensure adoption and success throughout their analytics

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Safety & Graphics Business Group

Safety & Graphics Business Group Safety & Graphics Business Group Frank Little, Executive Vice President December 16, 2014 Safety & Graphics is bringing the 3M Vision to life 3M Technology Advancing Every Company 3M Innovation Improving

More information

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment FAST SHIPPING AND DELIVERY TENS OF THOUSANDS OF IN-STOCK ITEMS EQUIPMENT DEMOS HUNDREDS OF MANUFACTURERS SUPPORTED

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Analytics: Laying the Foundation for Supply Chain Digital Transformation

Analytics: Laying the Foundation for Supply Chain Digital Transformation November 2017 Analytics: Laying the Foundation for Supply Chain Digital Transformation By Sanjiv Mahajan, Sandip Saha and Alfonso Macias As supply chain leaders set objectives and strategies for 2018 and

More information

BASF signs agreement to acquire significant parts of Bayer s seed and non-selective herbicide businesses. October 13, 2017

BASF signs agreement to acquire significant parts of Bayer s seed and non-selective herbicide businesses. October 13, 2017 BASF signs agreement to acquire significant parts of Bayer s seed and non-selective herbicide businesses October 13, 2017 Cautionary note regarding forward-looking statements This presentation contains

More information

IT Strategy Assessment for Automotive Suppliers

IT Strategy Assessment for Automotive Suppliers IT Strategy Assessment for Automotive Suppliers Optimizing support for digital business models and innovation helps to position IT as a business partner The new digital automotive world offers Tier 1 automotive

More information

McCormick & Company, Inc. September 6, 2006 Prudential s Back-to-school Conference

McCormick & Company, Inc. September 6, 2006 Prudential s Back-to-school Conference McCormick & Company, Inc. September 6, 2006 Prudential s Back-to-school Conference 1 Executives at conference Bob Lawless Chairman, President & CEO Fran Contino Executive VP Strategic Planning & CFO Chuck

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Nanomaterials Commercialization. Mike Harris Georgia Tech Research Institute

Nanomaterials Commercialization. Mike Harris Georgia Tech Research Institute Nanomaterials Commercialization Mike Harris Georgia Tech Research Institute 1 Outline Introduction Definition of Nanomaterials Factors that Influence Commercialization Differences Between Product and Materials

More information

Globalization of HR and How Digital Transformation can Help. In partnership with: HR.Payroll.Benefits.

Globalization of HR and How Digital Transformation can Help. In partnership with: HR.Payroll.Benefits. Globalization of HR and How Digital Transformation can Help In partnership with: HR.Payroll.Benefits. 2 Globalization of HR and How Digital Transformation can Help Globalization of HR and How Digital Transformation

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Advisory & Client Services

Advisory & Client Services Advisory & Client Services BlackRock was founded by eight entrepreneurs who wanted to start a very different company. One that combined the best of a financial leader and a technology pioneer. And one

More information

CUSTOMER-CENTRIC TRANSFORMATION: FIVE KEYS TO LEADING SUCCESSFUL CHANGE SUMMARY RESPONSES TO SURVEY QUESTIONS. Thought Leadership Series

CUSTOMER-CENTRIC TRANSFORMATION: FIVE KEYS TO LEADING SUCCESSFUL CHANGE SUMMARY RESPONSES TO SURVEY QUESTIONS. Thought Leadership Series CUSTOMER-CENTRIC TRANSFORMATION: FIVE KEYS TO LEADING SUCCESSFUL CHANGE SUMMARY RESPONSES TO SURVEY QUESTIONS Thought Leadership Series 1 Customer-Centric Transformation The Survey: Questions and Responses

More information

Supplier Guide Book. Collaborate, perform, grow together

Supplier Guide Book. Collaborate, perform, grow together Supplier Guide Book Collaborate, perform, grow together Message from our Chief Purchasing Officer Dear Supplier, DK Singh CPO Schneider Electric We are pleased to publish the Schneider Electric Supplier

More information

Global Workforce Analytics: The Next Big Thing? Featuring: Linda E. Amuso Radford Dan Weber Radford

Global Workforce Analytics: The Next Big Thing? Featuring: Linda E. Amuso Radford Dan Weber Radford Global Workforce Analytics: The Next Big Thing? Featuring: Linda E. Amuso Radford Dan Weber Radford Session Agenda Creating a Foundation for Analytics People & Spend Analytics Performance & Spend Analytics

More information

NEWS RELEASE SHOWA DENKO K.K. 13-9, Shiba Daimon 1-chome Minato-ku, Tokyo

NEWS RELEASE SHOWA DENKO K.K. 13-9, Shiba Daimon 1-chome Minato-ku, Tokyo NEWS RELEASE SHOWA DENKO K.K. 13-9, Shiba Daimon 1-chome Minato-ku, Tokyo 105-8518 December 12, 2017 Progress in 2017 and Tasks and Strategies for 2018 on the Business Plan The Essence of Business Strategy

More information

JINPAN INTERNATIONAL LTD.

JINPAN INTERNATIONAL LTD. JINPAN INTERNATIONAL LTD. (NASDAQ ) March 2012 INNOVATION FOR A LOW CARBON FUTURE Management Presenters Mark Du Chief Financial Officer Albert Sheng Vice President of Investor Relations 2 Disclaimer Disclaimer

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

bulk chemical management

bulk chemical management bulk chemical management bulk chemical management The backbone to the high tech fabrication environment is the steady supply of process materials. Safe, accurate and timely delivery of process chemistries

More information

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits. Betapure Series Filter Capsules Features & Benefits Graded Porosity Design Superior reduction of hard and soft gel contaminants, for reduced defectivity and improved yields High contaminant holding capacity

More information

DOE Methane Emissions R&D CH4 Connections 2016 on Methane Emissions November 2, 2016

DOE Methane Emissions R&D CH4 Connections 2016 on Methane Emissions November 2, 2016 DOE Methane Emissions R&D CH4 Connections 2016 on Methane Emissions November 2, 2016 Christopher Freitas, Senior Program Manger, Natural Gas Methane Emissions Research Program US DOE, Office of Oil and

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Transactional Marketing

Transactional Marketing A Market Segment Series Catalogs Transactional Marketing An Opportunity for Growth Digital Packaging Direct Mail Marketing Service Provider Photo Publishing Publishing Transactional Marketing Marketers

More information

How a project approach will build change management capability across your organization

How a project approach will build change management capability across your organization capability across your How a project approach will build change management capability across your Prosci Webinar How a project approach will build change management Enterprise Change Management Organizational

More information

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze Semicon Europa 2011. Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze 1 Export driven, medium-sized, innovative. Solutions in Optics High Tech in Glass Legal form:

More information

MICROELECTRONICS GROUP 150 MM WAFER SHIPPING AND HANDLING PRODUCTS

MICROELECTRONICS GROUP 150 MM WAFER SHIPPING AND HANDLING PRODUCTS MICROELECTRONICS GROUP 150 MM WAFER SHIPPING AND HANDLING PRODUCTS The widest selection of 150 mm wafer shipping and handling products available Table of Contents Silicon Delivery TM Systems and Services....

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Measuring moisture in ultra-high-purity gases

Measuring moisture in ultra-high-purity gases Measuring moisture in ultra-high-purity gases Many applications require very high purity, inert gases to blanket or produce protective atmospheres. Moisture is the most common contaminant that needs to

More information

Propane Autogas Infrastructure Overview Clean. Domestic. Abundant. Safe. Inexpensive. Proven.

Propane Autogas Infrastructure Overview Clean. Domestic. Abundant. Safe. Inexpensive. Proven. Propane Autogas Infrastructure Overview Clean. Domestic. Abundant. Safe. Inexpensive. Proven. Family owned company started in 1939 by A.C. Ferrell One of the Largest Propane Retailers in the U.S. with

More information

Customer Support: Leveraging Value of Ownership

Customer Support: Leveraging Value of Ownership Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1 Agenda! Customer Support main activities! Worldwide Organization and installed

More information

Advanced Automation based on Standards

Advanced Automation based on Standards Advanced Automation based on Standards How other industries can profit from automation concepts in semiconductor manufacturing 14 th AIS User Conference Dresden June 26 th, 2014 Dr. Martin Schellenberger

More information

OMG: The Cobalt Company. KeyBanc Capital Markets

OMG: The Cobalt Company. KeyBanc Capital Markets OMG: The Cobalt Company KeyBanc Capital Markets Basic Materials & Packaging Conference September 2010 Forward-Looking Statements This presentation may include forward-looking statements for purposes of

More information

Quality Starts With Me

Quality Starts With Me 1 DAEWON COMPANY INTRODUCE DAEWON COMPANY INTRODUCE 2 Quality Starts With Me ABOUT DAEWON Daewon has founded in 1975 and has grown into a leading supplier of plastic Extrusion and injection molded products

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

HOLDING COMPANY. Company Overview

HOLDING COMPANY. Company Overview HOLDING COMPANY Company Overview Q Holding Company Overview Premier manufacturer of precision-molded and extruded rubber components Branded under QSR Automotive/Industrial, Qure Medical and Quadra Tooling

More information

CMP Defects and Evolution of PCMP Cleans

CMP Defects and Evolution of PCMP Cleans CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX Agenda 1. Introduction to Linx Consulting 2. Where Have We Been

More information

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing etapure Series Filter Cartridges Superior Reduction Of Large Particle Counts For Improved Yields etapure filter cartridges are high capacity depth filters optimized for oxide and metal slurries used in

More information

ZERO DEFECTS Entegris Newsletter

ZERO DEFECTS Entegris Newsletter July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application

More information

Sentry QCIII Quick Connect System

Sentry QCIII Quick Connect System ADVANCED MATERIALS HANDLING Sentry QCIII Quick Connect System The cleanest, safest, most user-friendly connection system available for highpurity bulk chemical dispense For more than 5 years, Entegris

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG 3D-Micromac AG Symposium on Smart Integrated Systems in Chemnitz 1 1 microdice - TLS-Dicing for separation of SiC 2 microprep - for high-throughput microstructure diagnostics 3 About 3D-Micromac AG 2 microdice

More information

ENGINEERED SOLUTIONS ENERGY ENVIRONMENTAL

ENGINEERED SOLUTIONS ENERGY ENVIRONMENTAL ENGINEERED SOLUTIONS ENERGY ENVIRONMENTAL As a technology innovator, Babcock & Wilcox (B&W) provides a comprehensive package of engineered solutions for a wide range of applications. Established in 1867,

More information

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE Hwaiyu Geng Hewlett-Packard Company Palo Alto, California Lin Zhou Intel Corporation Hillsboro, Oregon 1.1 INTRODUCTION Over the past decades, an information

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

The Brock Group Overview. SCOAR Conference Charlotte, NC October 11-13, 2016

The Brock Group Overview. SCOAR Conference Charlotte, NC October 11-13, 2016 The Brock Group Overview SCOAR Conference Charlotte, NC October 11-13, 2016 The Brock Group Overview Industry Leader with more than 65 years of experience in specialty craft services, 17,000+ employees,

More information

Pharmaceutical and Biotechnology Services

Pharmaceutical and Biotechnology Services Pharmaceutical and Biotechnology Services Your First Choice for Environmental Management. ENVIRONMENTAL SERVICES Your Single- Source Leader. At Clean Harbors, we ve built a reputation for providing the

More information

Nordson Polymer Processing Systems Screws, Barrels, Front End Components & Wear Solutions

Nordson Polymer Processing Systems Screws, Barrels, Front End Components & Wear Solutions EXTRAORDINARY TECHNOLOGIES FOR TODAY S PLASTICS Nordson Polymer Processing Systems Screws, Barrels, Front End Components & Wear Solutions You require innovative solutions. History of Innovation Xaloy was

More information

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates SPCC POST-CMP CONFERENCE High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates Daniela White*, PhD Sr. Principal Scientist Atanu Das, PhD Scientist Thomas Parson, PhD

More information

Digital Services PEAK Matrix Assessment and Market Trends 2017: Redefining Customer Experience with Digital

Digital Services PEAK Matrix Assessment and Market Trends 2017: Redefining Customer Experience with Digital Digital Services PEAK Matrix Assessment and Market Trends 2017: Redefining Customer Experience with Digital Digital Services Market Report September 2017:Complimentary Abstract / Table of Contents Our

More information

a solution for reducing the

a solution for reducing the Outsourcing the precision cleaning, a solution for reducing the environmental impact Trade fair Hanover 2015 Heiko ZSCHIEDRICH Hanover April 15, 2015 Summary 1. Introduction and presentation of ECP 2.

More information

Royal Philips Electronics. Pierre-Jean Sivignon Executive Vice President & Chief Financial Officer

Royal Philips Electronics. Pierre-Jean Sivignon Executive Vice President & Chief Financial Officer Royal Philips Electronics Pierre-Jean Sivignon Executive Vice President & Chief Financial Officer Forward Looking Statements Forward Looking Statements This document contains certain forward-looking statements

More information

Bruker drives profitable growth by enabling breakthrough discoveries & development of new applications that improve the quality of human life

Bruker drives profitable growth by enabling breakthrough discoveries & development of new applications that improve the quality of human life Bruker drives profitable growth by enabling breakthrough discoveries & development of new applications that improve the quality of human life Innovation with Integrity BRUKER CORPORATION Safe Harbor Statement

More information

When the Business Wants Waterfall Implementing Agile in a Phase-Based Environment

When the Business Wants Waterfall Implementing Agile in a Phase-Based Environment When the Business Wants Waterfall Implementing Agile in a Phase-Based Environment Marjorie Farmer Wireline & Perforating Global Software Discipline Manager Agenda Halliburton Situation and Challenges LIFECYCLE

More information

Growing a fabless economy in India: challenges, pitfalls & opportunities

Growing a fabless economy in India: challenges, pitfalls & opportunities Growing a fabless economy in India: challenges, pitfalls & opportunities IESA Vision Summit 2014 Bangalore, Feb 3, 2014 Joep van Beurden CEO CSR plc. Agenda Two successful strategies The semiconductor

More information

KPMG s Advisory Services for Oracle. kpmg.com

KPMG s Advisory Services for Oracle. kpmg.com KPMG s Advisory Services for Oracle kpmg.com b KPMG s Advisory Services for Oracle Business Transformation enabled by Oracle technology KPMG s Advisory Services for Oracle 1 KPMG LLP s (KPMG) focus on

More information

Joyce Mullen. Our Commitment to You. Joyce Mullen. President, Global Channels, OEM Solutions and IoT

Joyce Mullen. Our Commitment to You. Joyce Mullen. President, Global Channels, OEM Solutions and IoT Our Commitment to You We will continue to refine the Dell EMC Partner Program based on your feedback to be even more Simple. Predictable. Profitable. At Dell EMC we believe strong partnerships lead to

More information

A LOW PRESSURE DROP SINTERED METAL FILTER FOR ULTRA-HIGH PURITY GAS SYSTEMS

A LOW PRESSURE DROP SINTERED METAL FILTER FOR ULTRA-HIGH PURITY GAS SYSTEMS A LOW PRESSURE DROP SINTERED METAL FILTER FOR ULTRA-HIGH PURITY GAS SYSTEMS Dr. Kenneth L. Rubow Donna S. Prause, Mark Eisenmann Mott Corporation Presented at the 3 rd Annual Technical Meeting Institute

More information

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004 Lehman Brothers T4 2004 Conference San Francisco Craig DeYoung, Vice President Investor Relations December 9, 2004 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation Reform

More information

Zurich Financial Services & AMS. An evolving partnership. April Samulewicz. Mark Smith. Global Head of Talent Attraction & Recruitment CoE

Zurich Financial Services & AMS. An evolving partnership. April Samulewicz. Mark Smith. Global Head of Talent Attraction & Recruitment CoE Zurich Financial Services & AMS An evolving partnership April Samulewicz Global Head of Talent Attraction & Recruitment CoE Zurich Mark Smith Client Relationship Director Alexander Mann Solutions Alexander

More information