Microelectronics Reliability

Size: px
Start display at page:

Download "Microelectronics Reliability"

Transcription

1 Microelectronics Reliability 52 (212) Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: Physical properties and electrical characteristics of H 2 O-based and O 3 -based HfO 2 films deposited by ALD Jibin Fan, Hongxia Liu, Qianwei Kuang, Bo Gao, Fei Ma, Yue Hao School of Microelectronics, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xidian University, Xi an 7171, China article info abstract Article history: Received 16 September 211 Received in revised form 14 January 212 Accepted 17 January 212 Available online 21 February 212 Ozone (O 3 ) and H 2 O are used as the oxidant to deposit hafnium oxide (HfO 2 ) thin films on p-type Si (1) wafers by atomic layer deposition (ALD). The physical properties and electrical characteristics of HfO 2 films change greatly for different oxidants and deposition temperature. Compared with O 3 as the oxidant, HfO 2 films grown with H 2 O as the oxidant are more consistent in composition and growth rate. The O 3 - based HfO 2 films have lower C impurity and higher concentration N impurity than the H 2 O-based HfO 2 films. The impact of the annealing process on the electrical properties and stability of HfO 2 films are also investigated. A width step is observed in the O 3 -based HfO 2 C V curves, which disappears after annealing process. It is because the unstable Hf O N and Hf N bonds in O 3 -based HfO 2 films are re-bonded with the non-hfo 2 oxygen after annealing process, and the binding energy of N 1s shifts. Ó 212 Elsevier Ltd. All rights reserved. 1. Introduction 2. Experiments To meet future static power dissipation constraints, Hf-based high-j dielectrics in combination with the metal gate electrodes are currently being implemented for transistor production into sub-3 nm CMOS technology nodes [1,2]. Among them, the hafnium oxide has been extensively studied as a potential alternative to silicon dioxide. H 2 O and tetrakis (ethylmethylamino) hafnium (TEMAH) are used as the oxidant and the hafnium precursor, respectively [3,4]. However, one of the disadvantages of H 2 O-based ALD is the high concentration hydroxyl groups in the films, which degrades the dielectric interface during the post-deposition annealing process. Meanwhile, the purge time is needed sufficiently due to H 2 O tends to physisorb on the surface strongly, especially at low temperature [5,6]. To solve this problem, O 3 is used as one of the most promising alternative oxidants in ALD process, due to its strong oxidization and high volatility [7]. However, the mechanism of O 3 -based ALD HfO 2 has not been understood completely because its by-products cannot be accurately determined. In this work, the HfO 2 films are deposited using different oxidants at different deposition temperature. TEMAH is used as the hafnium precursor, H 2 O and O 3 are used as the different oxidants, respectively. The HfO 2 films are annealed with thermal treatments in nitrogen atmosphere. The impurities and composition of the films are analyzed by X-ray photoelectron spectrometer (XPS). The flat-band voltage and the hysteresis of the layers are analyzed by the mercury-probe C V testing system. Corresponding author. Tel.: ; fax: address: jbfan@mail.xidian.edu.cn (J. Fan). The wafers are obtained after RCA cleaning and 3 s dip in diluted HF solution to remove the native oxide, which followed by 6 s rinse in deionized water. Liquid TEMAH is used as the hafnium precursor. The container of Hf precursor is heated to 95 C, corresponding to the vapor pressure of 1 15 hpa. When H 2 Ois used as the oxidant, the container is set at the room temperature, corresponding to a vapor pressure of 7 hpa. The ozone generator uses the ultra pure O 2 (99.995%) to obtain O 3, the concentration is 2 g/nm 3. HfO 2 films are grown on p-type Si (1) wafers by ALD for different oxidants (O 3,H 2 O) and deposition temperatures (15 C, 2 C, and 3 C). In order to decrease the interface traps and the fixed charges, the as-deposited films are annealed in nitrogen atmosphere. 3. Results and discussion 3.1. Comparison of physical properties of HfO Thickness of HfO 2 films Table 1 shows the thickness of HfO 2 films (T ox ) measured by Woollam M2D Spectroscopic Ellipsometer. T ox and growthper-cycle (GPC) of H 2 O-based HfO 2 films depend on the deposition temperature greatly. T ox of H 2 O-based HfO 2 films increases with increasing temperature. The same dependent relation is observed for the O 3 -based HfO 2 deposited at 15 C and 2 C respectively. However, T ox and GPC suddenly decrease for O 3 -based HfO 2 films deposited at 3 C. There is the strong decomposition of O 3 in the direction of gas flow when the temperature is higher than 25 C, and GPC does not increase by increasing O 3 or TEMAH dose /$ - see front matter Ó 212 Elsevier Ltd. All rights reserved. doi:1.116/j.microrel

2 144 J. Fan et al. / Microelectronics Reliability 52 (212) Table 1 Thickness of H 2 O-based and O 3 -based HfO 2 films deposited at different temperatures. Temp. ( C) H 2 O-based HfO 2 O 3 -based HfO 2 T ox (nm) GPC EOT (nm) Permittivity T ox (nm) GPC EOT (nm) Permittivity [8]. Furthermore, the GPC of H 2 O-based HfO 2 is more than.1 nm per cycle, and it is higher than that of O 3 -based HfO 2. In order to obtain the accurate values of permittivity and EOT of the HfO 2, the interlayer (T SiOx ) is measured by Woollam M2D Spectroscopic Ellipsometer and subtracted in the calculation, as shown in Eq. (2). The EOT and permittivity are determined as follows: EOT total ¼ A e e SiO2 C OX EOT HfO2 ¼ EOT total T SiOx ð2þ e HfO2 ¼ T HfO 2 EOT HfO2 e SiO2 where A is the area, C OX is the accumulation capacitance of HfO 2 films, e HfO2 and e SiO2 are the permittivity of HfO 2 and SiO 2 respectively. Table 1 shows the thickness of H 2 O-based and O 3 -based HfO 2 films deposited at different temperatures. The equivalent oxide thickness (EOT) decreases greatly with increasing deposition temperature and the permittivity increases obviously for O 3 -based HfO 2 films. While the EOT and permittivity of H 2 O-based HfO 2 films almost do not depend on the deposition temperature. Meanwhile, the permittivity of H 2 O-based and O 3 -based HfO 2 deposited at the same temperature shows significant difference. In order to investigate the impact of the thermal treatments on the physical properties and electrical characteristics of HfO 2 films, the samples are annealed in nitrogen. The annealing time is 1 min and annealing temperature is 5 C and 7 C respectively. The completely different behaviors are observed after different annealing conditions. Fig. 1 shows the thickness of HfO 2 after annealing process. After 5 C thermal annealing, the thickness of H 2 O- based HfO 2 films deposited at 15 C, 2 C and 3 C increase.2 nm,.1 nm and.1 nm, respectively. The increasing magnitude can be negligible because it is within the experimental error. However, the thickness increases significantly after 7 C thermal annealing due to the growth of interface layer. As shown in Fig. 1a, the films deposited at 15 C, 2 C and 3 C increase.8 nm,.4 nm and.3 nm, respectively. For the O 3 -based HfO 2 films, the thickness of the films deposited at 15 C decreases about.5 nm after 5 C thermal annealing, and the thickness of ð1þ ð3þ the films deposited at 2 C decreases about.6 nm after 7 C thermal annealing. At lower deposition temperature, there is not enough thermal energy for surface reactions, and some by-products or intermediates are generated in the layers. As a result, the decomposition and re-composition of by-products take place during the thermal annealing, which causes the decrease of the thickness. However, the thickness of film deposited at 3 C increase slightly after the thermal annealing process Composition of HfO 2 films The physical mechanism of H 2 O-based ALD HfO 2 is clear. However, the reaction mechanism of O 3 -based ALD HfO 2 is complicated due to the strong oxidization and lability of O 3. During the deposition process, O 3 can split the N C bond and C H bonds of by-products and ligands that are still attached to Hf. Different by-products can be formed in the HfO 2 films at different deposition temperature. The unstable by-products can be decomposed or re-composited, and the final by-products can be CO 2,H 2 O, CH 2 O, and NO 2 /NO, etc. [9 11]. As some nitrogen oxides are the strong oxidants, particularly in NO 2 (or its dimer N 2 O 4 ) and N 2 O. They can participate in the ALD reactions. Meanwhile, NO x can deactivate active sites of O 3 catalysts [12,13]. It means that nitrogen oxides have the priority to react with the TEMAH in the deposition process. In order to investigate the different physical properties of H 2 O-based HfO 2 and O 3 -based HfO 2, the films deposited at different temperatures are analyzed by XPS. Fig. 2 shows the effect of 7 C annealing on the XPS spectra for H 2 O-based and O 3 -based HfO 2 films deposited at 3 C. Fig. 2 shows that there is almost no significant difference for H 2 O-based HfO 2 before and after the thermal annealing. The main peaks are Hf and O, subordinate peak is C. The peak of N is so weak that it can be neglected. The percentage composition of C changes from 6.22% to 2.34% after 7 C thermal annealing. However, for the O 3 -based HfO 2 films, the thermal annealing impacts the XPS spectra obviously. The main peaks are Hf and O, subordinate peaks are N and C (.69%). The percentage composition of N decreases from 7.5% to 6.78% after the thermal treatment. Further XPS spectra of O 3 -based HfO 2 films deposited at different temperature shows that the impurities (C and N) in the HfO 2 films increase with decreasing deposition temperature, as shown in Table 2. Tox (nm) HfO 2 (H 2 O) Tox (nm) HfO 2(O 3 ) 7 As-deposited As-deposited 5 7 Fig. 1. The thickness of HfO 2 films after annealing process H 2 O-based, O 3 -based.

3 J. Fan et al. / Microelectronics Reliability 52 (212) Counts (s) HfO2(H2O) Hf4f Hf5p Hf4d Hf4p C1s N1s O1s located at ev and ev, respectively. The O 1 peak and O 2 peak are attributed to O as HfO 2 and non-hfo 2 oxygen, respectively. The proportion of O 1 peak and O 2 peak is 92.65% and 7.35%, respectively. However, after annealing process, the proportion of O 3 peak and O 4 peak located at ev and ev, which change to 95% and 5%, respectively. The results indicate that the unstable Hf O N and Hf N bonds (N 1 and N 2 ) are re-bonded with the non-hfo 2 oxygen. Therefore, the N 1 and N 2 peaks shift to high binding energy and the proportion of O as HfO 2 increases Comparison of electrical characteristics of HfO 2 films Binding Energy (ev) Fig. 2. XPS spectra for H 2 O-based and O 3 -based HfO 2 films deposited at 3 C. The percentage composition of C in HfO 2 films deposited at different temperature is shown in Fig. 3a. It is obtained that the percentage composition of C in H 2 O-based HfO 2 decreases from 7.52% to 6.22% as the deposition temperature increases from 15 C to 3 C. However, for O 3 -based HfO 2, the percentage composition of C decreases from 5.15% to.69%. The results indicate that the effect of deposition temperature on the impurity C concentration is more serious for the O 3 -based HfO 2 films. Fig. 3b shows the percentage composition of Hf and O in H 2 O- based and O 3 -based HfO 2 films deposited at 15 C, 2 C and 3 C respectively. For H 2 O-based HfO 2, the atomic ratio of Hf/O only decreases from 2.3 to 1.89 as the deposition temperature increases from 15 C to 3 C. It seems that the deposition temperature has no significant influence on the atomic ratio. However, for O 3 -based HfO 2, the atomic ratio of Hf/O increases from 1.74 to 2.19 when the deposition temperature increases from 15 C to 3 C. Besides, Hf/O atomic ratio of H 2 O-based and O 3 -based HfO 2 decreases after the thermal annealing, which cause the increase of percentage composition of O atom. Fig. 4 shows N 1s peaks of O 3 -based HfO 2 films deposited at 3 C. The fitting curves indicate that the N 1s spectra mainly consist of two different components, denoted as N 1 and N 2. They are located at ev and ev, respectively. The N 1 peak and N 2 peak are caused by Hf N bonds and Hf O N bonds [14]. It is confirmed that the nitrogen oxide species participate in the ALD chemisorption reactions. On the other hand, the peaks of the fitting curves shift after 7 C thermal annealing. The N 3 and N 4 peaks are ev and ev, which correspond to N (Hf,O) and Hf O N bonds [15]. The N 1 and N 2 peaks shift to high binding energy, which indicates that the Hf O N and Hf N bonds are very unstable, and re-composition takes place after the annealing process. Meanwhile, the intensity of N 1 and N 2 peaks decrease apparently. N species diffuse to the subsurface region after the annealing process, which causes the concentration of N in HfO 2 films decreasing. Fig. 5 shows the O 1s peaks of O 3 -based HfO 2 films deposited at 3 C. The fitting curves indicate that the O 1s spectra mainly consists of two different components, denoted as O 1 and O 2. They are Fig. 6 shows the C V characteristics of H 2 O-based and O 3 -based HfO 2 deposited at 15 C, 2 C and 3 C respectively. Fig. 6a shows the C V curves of H 2 O-based HfO 2 films (f = 1 khz). The gate voltage (V G ) is swept from accumulation to inversion (symbol) and then swept back (solid line). The C V curve of films deposited at lower temperature shows a hysteresis loop and the hysteresis diminishes with increasing deposition temperature. The stretch out appears for deposition temperature of 15 C and 2 C, which corresponds the interface states. There is no enough thermal energy supplied for the surface reactions and traps produced at lower growth temperature. Fig. 6b shows the C V curves of O 3 -based HfO 2. Compared with the C V curves of H 2 O-based HfO 2, the hysteresis loop of O 3 -based samples is larger at higher temperature. There is a width step appears in C V curves, and accumulation capacitance value increases with increasing deposition temperature. The width step and difference of capacitance values can be explained by XPS, as shown in Fig. 3. At lower deposition temperature, the higher impurities level of O 3 -based films such as C and N will lower the refractive index and the dielectric constant, which contributes to the difference capacitance in C V curves. The width step is caused by the trapped holes injected from HfO 2 layer (unpaired bonding nitrogen oxide units) into the depletion layer. The width of the depletion layer in Si substrate grows with increasing V G, which decreases the total capacitance of the capacitor. However, if all the trapped holes in the HfO 2 /SiO 2 layer are injected into the depletion layer, the growth of the depletion layer stops and the capacitance becomes constant. At the beginning of depositing O 3 -based HfO 2 films, TEMAH is pulsed into the chamber by carrier gas, and then O 3 is pulsed. In reaction, the nitrogen oxides (NO x, etc.) are generated. Nitrogen oxides deactivate the active sites of O 3 and have the chance to react with the TEMAH in the deposition process, N O covalence bonds are destroyed. Dangling nitrogen bonds can form the new covalence bonds with other species or ligands before they are purged by nitrogen. Because N has the valence states of 3, +1, +2 and +4, it will destroy the structure of the intermediate precursor, the dangling bonds and unpaired bonding traps are produced in HfO 2. Therefore, the width step appears in C V curves Impact of thermal annealing on the electrical characteristics of HfO 2 films Fig. 7 shows the influence of the annealing temperature (T = 5 C, 7 C) on the C V characteristics of H 2 O-based HfO 2. Table 2 Percentage composition of H 2 O-based and O 3 -based HfO 2 films. Temp. ( C) H 2 O-based HfO 2 O 3 -based HfO 2 Hf 4f (a.t.%) O 1s (a.t.%) C 1s (a.t.%) N 1s (a.t.%) Hf 4f (a.t.%) O 1s (a.t.%) C 1s (a.t.%) N 1s (a.t.%) 15 As-deposited Annealed As-deposited Annealed As-deposited Annealed

4 146 J. Fan et al. / Microelectronics Reliability 52 (212) C1s 7 Atomic% O 3 Oxidant H 2O Atomic% O 3 Hf () O () Hf () O () Hf () O () Oxidant H 2O Fig. 3. The percentage composition of C, Hf and O atoms in H 2 O-based and O 3 -based HfO 2 films deposited at 15 C, 2 C and 3 C C, Hf and O. Counts (s) Counts (s) Annealed N1 N3 N2 N Binding Energy (ev) N1s experimental data N1s curve fitting Backgnd. Fig. 4. N 1s peaks of O 3 -based HfO 2 deposited at 3 C. O as HfO2 Annealed O1 O3 O2 O1s experimental data O1s fitting curve Backgnd. non-hfo 2 oxygen O Binding Energy (ev) Fig. 5. O 1s peaks of O 3 -based HfO 2 films deposited at 3 C. We can see that the flat-band voltage shifts to the positive voltage direction and the hysteresis almost disappears after 5 C annealing. Meanwhile, the stretch out of HfO 2 deposited at 15 C and 2 C also disappears, as shown in Fig. 7a and b. It indicates the interface traps at SiO x /Si and the excessive hydroxyl/hydrogen groups in the layers decrease after 5 C thermal treatment. However, the flat-band voltage shifts to the negative voltage direction after 7 C annealing. The interface layer of the silicate grows between the silicon and the high-j dielectric after 7 C annealing, and the thickness of silicate increases about.3 nm. Because of oxygen ions penetrate into the silicate layer, vacancies generated in the HfO 2 layer, which contribute to the negative shift of the flat-band voltage. Fig. 8 shows the influence of the annealing temperature on the C V characteristics of O 3 -based HfO 2 deposited at different deposition temperature. The flat-band voltage shifts to the positive direction after high temperature annealing. Fig. 8a and b shows that the width step in the O 3 -based HfO 2 films deposited at 15 C and 2 C diminishes after 5 C annealing and it disappears after 7 C annealing. The C V curves of O 3 -based HfO 2 films deposited at 3 C present overlap after 5 C and 7 C annealing, which is shown in Fig. 8c. The decrease of positive charges is the dominant mechanism of flat-band voltage shift after the thermal treatments. The kinetic energy of the N-containing species is close to the activation energy of desorption and the activation energy of oxidation. Furthermore, the interdiffusion of the corresponding N to the substrate region decreases the traps related to the N species. So, the traps in the HfO 2 films decrease and the wide step in the C V curves diminishes after annealing. In summery, thermal treatments decrease the traps in the HfO 2 films, and the interface layer grows after 7 C annealing for H 2 O- based HfO 2 films. However, for O 3 -based HfO 2 films, great numbers Acc. to Inv. Inv. to Acc HfO 2(H2O) Acc. to Inv. Inv. to Acc. Fig. 6. C V characteristics of as-deposited HfO 2 films H 2 O-based, O 3 -based.

5 J. Fan et al. / Microelectronics Reliability 52 (212) HfO2(H 2 O) 5 C HfO2(H2O) 5 C HfO 2(H2O) 5 C (c) Fig. 7. C V characteristics of H 2 O-based ALD HfO 2 films deposited at different temperature 15 C, 2 C, (c) 3 C. 5 C HfO2 (O3) 5 C V G (V) V G (V) 5 C (c) V G (V) Fig. 8. C V characteristics of O 3 -based ALD HfO 2 films deposited at different temperature 15 C, 2 C, (c) 3 C. of N-containing species traps play a major role for the shifts of C V characteristics. Thermal treatment makes the N-containing species decompose and decreases the traps in the O 3 -based HfO 2 films. The phenomenon is obvious for the O 3 -based HfO 2 films deposited at lower temperature. Furthermore, the decrease of the accumulation capacitance for O 3 -based HfO 2 deposited at 15 C is observed after 5 C annealing. It is attributed to the increasing of leakage current, which is discussed in the following section Flat-band voltage (V FB ) and hysteresis voltage Figs. 9 and 1 shows the extracted V FB values and oxide charge density of HfO 2 films for different process. It can be obtained from

6 148 J. Fan et al. / Microelectronics Reliability 52 (212) HfO 2(H 2O) HfO2 (O 3) VFB (V).6 VFB (V) As-deposited 5 7 As-deposited 5 7 Fig. 9. Flat-band voltage versus the annealing temperature for HfO 2 films H 2 O-based, O 3 -based. Oxide charge density (cm -2 ) 3x1 12 2x1 12 1x1 12-1x1 12 HfO 2 (H2O) 2x1 12 1x1 12-1x1 12-2x1 12-3x1 12-2x1 12-4x1 12 As-deposited 5 7 As-deposited 5 7 Oxide charge density (cm -2 ) Fig. 1. Density of oxide charge versus the annealing temperature for HfO 2 films H 2 O-based, O 3 -based. Hysteresis (V).1 5 HfO2 (H 2O) Hysteresis (V) As-deposited 5 7 As-deposited HfO 2 (O3) Fig. 11. Hysteresis versus the annealing temperature for HfO 2 films H 2 O-based, O 3 -based. Fig. 9, higher deposition temperatures produces higher V FB values for the as-deposited H 2 O-based HfO 2 and O 3 -based HfO 2 films. After thermal annealing, for H 2 O-based HfO 2 films, the increasing V FB of positive direction shows that negative oxide charges increase after 5 C annealing, whereas the increasing V FB of negative direction shows positive oxide charges increase after 7 C annealing. It is because the decreasing impurity CH x and the excessive hydroxyl/hydrogen groups after 5 C annealing [16]. However, after 7 C annealing, the formation of oxygen vacancies in HfO 2 films increases the positive oxide charge due to the growth of silicate interfacial layer. As shown in Fig. 1a, negative oxide charge density of all HfO 2 films increases after 5 C annealing, whereas positive oxide charge density increases about cm 2 after 7 C annealing. However, for O 3 -based HfO 2 films, the positive increasing of V FB values indicates that negative charges increase after 5 C and 7 C annealing. In analysis meanwhile, the decreasing intensity of N 1s spectra, as shown in Fig. 4, indicates that the indiffusion of N species exists after annealing. Since oxygen atoms in HfO 2 have similar size as nitrogen, the indiffusion of N can increase negative charges due to N atoms can substitute oxygen atoms and generate oxygen ions in HfO 2 films [17]. As shown in Fig. 1b, the negative oxide charge density for O 3 -based HfO 2 films minimum increase about cm 2. Figs. 11 and 12 shows the extracted hysteresis voltage and slow state density of HfO 2 films for different process. It is observed that

7 J. Fan et al. / Microelectronics Reliability 52 (212) Slow state density (cm -2 ) 8.x1 11 HfO2 (H2O) 6.x x x1 11 As-deposited 5 7 Slow state density (cm -2 ) 8.x x x x1 11 HfO 2(O3) As-deposited 5 7 Fig. 12. Density of slow state versus the annealing temperature for HfO 2 films H 2 O-based, O 3 -based. the hysteresis of as-deposited H 2 O-based HfO 2 decreases with increasing deposition temperature, whereas the adverse characteristic is observed for O 3 -based HfO 2. Furthermore, the hysteresis voltage of H 2 O-based HfO 2 and O 3 -based HfO 2 decreases after annealing and the acceptable hysteresis voltage level (<5 mv) is obtained. However, the hysteresis voltage and the slow interface states of O 3 -based HfO 2 film deposited at 15 C increase after 5 C annealing, as shown in Fig. 11b. The increasing slow interface states causes increasing leakage current, which agrees well with the reference [18].The neutral species are generated by electron trapping and diffuse to the SiO 2 /Si interface, which also causes slow interface states to increase [19]. There is no enough thermal energy for surface reaction, the poor quality O 3 -based HfO 2 film is obtained at 15 C. The neutral species proposed are the decomposition of the by-products or unstable intermediate. As it can be better observed in Fig. 12, the slow state density for H 2 O-based HfO 2 films is less than cm 2 after 5 C annealing, while for O 3 -based HfO 2 films is less than cm 2 after 7 C annealing. This implies that more defects exist in O 3 -based HfO 2 films and some of them cannot be eliminated through annealing in N Conclusions HfO 2 thin films are deposited by ALD for different oxidants and deposition temperature. Compared with the O 3 -based HfO 2, the H 2 O-based HfO 2 films have advantages in the GPC and permittivity. Meanwhile, low C impurity and high concentration N impurity are observed in O 3 -based HfO 2 while only high C impurity is observed in H 2 O-based HfO 2 films. The physical properties and electrical characteristics of H 2 O-based and O 3 -based HfO 2 are also investigated after 5 C and 7 C thermal treatment in nitrogen atmosphere. The XPS and ellipsometry testing results indicate that the change of O 3 -based HfO 2 films after annealing process is more complicated due to the existence of N-containing species. The C V testing results show that, the flat-band voltage shifts and hysteresis voltages are different for different oxidants, however, the annealing process can improve the electrical characteristics. Acknowledgements This work was supported National Natural Science Foundation of China (Grant Nos , ) and the Cultivation Fund of the Key Scientific and Technical Innovation Project, Ministry of Education of China Program (Grant No. 7883). References [1] Campabadal F, Zabala M, Rafi JM, Acero MC, Sainchez A, Sainchez J, Sainchez S, Andreu R. Thin high-j dielectric layers deposited by ALD. In: Proceedings of the 29 Spanish Conference on Electron Devices; 29. p [2] George SM. Atomic layer deposition: an overview. Chem Rev 21;11: [3] Kukli K, Ritala M, Sajavaara T, Keinonen J, Leskela M. Atomic layer deposition of hafnium dioxide films from hafnium tetrakis(ethylmethylamide) and water. Chem Vap Deposition 22;8: [4] Cho MH, Roh YS, Whang CN, Jeong K. Thermal stability and structural characteristics of HfO 2 films on Si (1) grown by atomic layer deposition. Appl Phys Lett 22;81: [5] Rafí JM, Zabala M, Beldarrain O, Campabadal F. Effect of processing conditions on the electrical characteristics of atomic layer deposited Al 2 O 3 and HfO 2 films. ECS Trans 21;28: [6] Kurokawa A, Narushima T, Nakamura K, Nonaka H, Ichimura S, Itakural AN, et al. Relationship between ozone oxidation and stress evolution on an H- terminated Si surface. Jpn J Appl Phys 24;43: [7] Kato H, Seol KS, Fujimaki M, Toyoda T, Ohki Y, Takiyama M. Effect of ozone annealing on the charge trapping property of Ta 2 O 5 Si 3 N 4 -p Si capacitor grown by low-pressure chemical vapor deposition. Jpn J Appl Phys 1999;38: [8] Liu XY, Ramanathan S, Longdergan A, Srivastava A, Lee E, Seidel TE, Pang D, Gordonb RG, et al. ALD of hafnium oxide thin films from tetrakis(ethylmethylamino) hafnium and ozone. J Electrochem Soc 25;152: [9] Kaushik VS, O Sullivan BJ, Pourtois G, Hoornick NV, Delabie A, Elshocht SV, et al. Estimation of fixed charge densities in hafnium silicate gate dielectrics. IEEE Trans Electr Dev 26;53: [1] Nabatamea T, Iwamotoa K, Akiyamaa K, Nunoshigeb Y, Otab H, Ohishi T, et al. What is the essence of V FB shifts in high-j gate stack? ECS Trans 27;11: [11] Kim HD, Roh Y, Lee Y, Lee JE. Effects of annealing temperature on the characteristics of HfSi x O y /HfO 2 high-j gate oxides. J Vac Sci Technol 24;22: [12] Choi KJ, Shin WC, Yoon SG. Effect of annealing conditions on a hafnium oxide reinforced SiO 2 gate dielectric deposited by plasma-enhanced metallorganic CVD. J Electrochem Soc 22;149: [13] Delabie A, Swerts J, Elshocht SV, Jung SH, Raisanen PI, Givens ME, Machkaoutsan V, Maes JW, et al. Ozone based atomic layer deposition of hafnium oxide and impact of nitrogen oxide species. J. Electrochem. Soc. 211;158: [14] Kang CS, Cho HJ, Onishi K, Nieh R, Choi R, Gopalan S, et al. Bonding states and electrical properties of ultrathin HfO x N y gate dielectrics. Appl Phys Lett 22;81: [15] Kim YK, Ahn JR, Choi WH, Lee HS, Yeom HW. Mechanism of initial adsorption of NO on the Si(1) surface. Phys Rev B 23;68: [16] Zhang ZH, Campbell SA. Effect of deposition chemistry and annealing on charge in HfO 2 stacks. IEEE Electron Dev Lett 26;27: [17] Cho M, Akheyar A, Aoulaiche M, Degraeve R, Ragnarsson LA, Tseng J, et al. Study of nitrogen impact on V FB -EOT roll-off by varying interfacial SiO 2 thickness. Solid-State Electron 211;62: [18] Kim WB, Matsumoto T, Kobayashi H. Ultrathin SiO 2 layer with an extremely low leakage current density formed in high concentration nitric acid. J Appl Phys 29;15:1379. [19] Lai SK, Young DR. Reduction of electron trapping in silicon dioxide by hightemperature nitrogen anneal. J Appl Phys 1981;52:6231.

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor JOURNAL OF APPLIED PHYSICS VOLUME 93, NUMBER 1 1 JANUARY 2003 Atomic layer deposition of thin hafnium oxide films using a carbon free precursor J. F. Conley, Jr., a) Y. Ono, D. J. Tweet, and W. Zhuang

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate Jaya Parulekar, Department of Chemical and Biological Engineering, Illinois Institute of Technology, Chicago,

More information

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film Journal of Physics: Conference Series Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film To cite this article: W Takeuchi et al 213 J. Phys.: Conf. Ser.

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA 10.1149/1.2355716, copyright The Electrochemical Society Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric Daniel J. Lichtenwalner a, Jesse S. Jur a, Steven Novak b, Veena

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Chemistry and Materials Research ISSN (Print) ISSN (Online) Vol.3 No.4, 2013

Chemistry and Materials Research ISSN (Print) ISSN (Online) Vol.3 No.4, 2013 Fabrication and Electrical characteristic of quaternary ultrathin HfTiErO thin films for MOS devices grown by rf sputtering Murad Ali Khaskheli 1,4, Ping Wu 1*, Amir Mahmood Soomro 3, Matiullah Khan 2

More information

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD. Journal of Electron Devices, Vol. 1, 2003, pp. 1-6 JED [ISSN: 1682-3427] Journal of Electron Devices www.j-elec-dev.org FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT

More information

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS

RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS Surface Review and Letters, Vol. 8, No. 5 (2001) 521 526 c World Scientific Publishing Company RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS S. J. WANG,

More information

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Katsuya Abe, Yohei Nagasaka, Takahiro Kida, Tomohiko Yamakami, Rinpei Hayashibe and Kiichi Kamimura, Faculty of

More information

Synthesis and Evaluation of Electrocatalysts for Fuel Cells

Synthesis and Evaluation of Electrocatalysts for Fuel Cells Synthesis and Evaluation of Electrocatalysts for Fuel Cells Jingguang Chen Center for Catalytic Science and Technology (CCST) Department of Chemical Engineering University of Delaware Newark, DE 19711

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers Munsik Oh and Hyunsoo Kim * School of Semiconductor and Chemical Engineering and Semiconductor

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2215 2219 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Threshold voltage shift

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA 10.1149/1.2779073 The Electrochemical Society In-situ FTIR Study of Atomic Layer Deposition (ALD) of Copper Metal Films Min Dai a, Jinhee Kwon a, Erik Langereis a, Leszek Wielunski a, Yves J. Chabal a

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE

More information

Al 2 O 3 SiO 2 stack with enhanced reliability

Al 2 O 3 SiO 2 stack with enhanced reliability Al 2 O 3 SiO 2 stack with enhanced reliability M. Lisiansky, a A. Fenigstein, A. Heiman, Y. Raskin, and Y. Roizin Tower Semiconductor Ltd., P.O. Box 619, Migdal HaEmek 23105, Israel L. Bartholomew and

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study JOURNAL OF APPLIED PHYSICS 97, 073519 2005 Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study SungKwan Kim, a Yangsoo

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM

More information

The Relation of Temperature Distribution on Silicon Wafer with Furnace Temperature and Gas Flow During Thermal Dry Oxidation Process

The Relation of Temperature Distribution on Silicon Wafer with Furnace Temperature and Gas Flow During Thermal Dry Oxidation Process The Relation of Temperature Distribution on Silicon Wafer with Furnace Temperature and Gas Flow During Thermal Dry Oxidation Process A.H. Azman 1, a *, S. Norhafiezah 2, b, RM Ayub 3, c, M. K. Md Arshad

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus,

More information

Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance

Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance BOGDAN OFRIM, FLORIN UDREA, GHEORGHE BREZEANU, ALICE PEI-SHAN HSIEH Devices, circuits

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices International Conference on Characterization and Metrology for ULSI Technology March 15-18, 2005 Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices Yoshi Senzaki, Kisik

More information

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures Available online at www.sciencedirect.com Diamond & Related Materials 17 (2008) 481 485 www.elsevier.com/locate/diamond Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Characterization of thin Gd 2 O 3 magnetron sputtered layers

Characterization of thin Gd 2 O 3 magnetron sputtered layers Characterization of thin Gd 2 O 3 magnetron sputtered layers Jacek Gryglewicz * a, Piotr Firek b, Jakub Jaśiński b, Robert Mroczyński b, Jan Szmidt b a Wroclaw University of Technology, Janiszewskiego

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor Application

Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor Application American Journal of Materials Science and Technology (2012) 1: 22-27 doi:10.7726/ajmst.2012.1004 Research Article Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Front. Optoelectron. 2015, 8(4): 445 450 DOI 10.1007/s12200-014-0451-1 RESEARCH ARTICLE Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Xiaoyue

More information

Nanoindentation Investigation of HfO 2 and Al 2 O 3 Films Grown by Atomic Layer Deposition

Nanoindentation Investigation of HfO 2 and Al 2 O 3 Films Grown by Atomic Layer Deposition 0013-4651/2008/155 7 /H545/7/$23.00 The Electrochemical Society Nanoindentation Investigation of HfO 2 and Al 2 O 3 Films Grown by Atomic Layer Deposition K. Tapily, a,c, * J. E. Jakes, d,e D. S. Stone,

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 212 217 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Solid-State Electronics 48 (2004) 1987 1992 www.elsevier.com/locate/sse Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode Shiyang Zhu

More information

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2 Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Steven M. George 1,2,3, Victor M. Bright 1,4,Y. C. Lee 1,4 1 DARPA Center on Science and Technology for Integrated

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

Available online at  ScienceDirect. Materials Today: Proceedings 2 (2015 ) Available online at www.sciencedirect.com ScienceDirect Materials Today: Proceedings 2 (2015 ) 5582 5586 International Conference on Solid State Physics 2013 (ICSSP 13) Thickness dependent optimization

More information

All-solid-state Li battery using a light-weight solid electrolyte

All-solid-state Li battery using a light-weight solid electrolyte All-solid-state Li battery using a light-weight solid electrolyte Hitoshi Takamura Department of Materials Science, Graduate School of Engineering, Tohoku University Europe-Japan Symposium, Electrical

More information

Supporting Information. Flexible, Low-Power Thin-Film Transistors (TFTs) Made of Vapor-Phase. Synthesized High-k, Ultrathin Polymer Gate Dielectrics

Supporting Information. Flexible, Low-Power Thin-Film Transistors (TFTs) Made of Vapor-Phase. Synthesized High-k, Ultrathin Polymer Gate Dielectrics Supporting Information Flexible, Low-Power Thin-Film Transistors (TFTs) Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics Junhwan Choi, Munkyu Joo, Hyejeong Seong, Kwanyong Pak,

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Citation for the original published paper (version of record):

Citation for the original published paper (version of record): http://www.diva-portal.org This is the published version of a paper published in Energy Procedia. Citation for the original published paper (version of record): Boulfrad, Y., Lindroos, J., Inglese, A.,

More information

In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition

In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition Erik Langereis Department of Applied Physics e.langereis@tue.nl http://www.phys.tue.nl/pmp Ellipsometry Workshop

More information

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Zhihe XIA,2, Lei LU,2,3, Jiapeng LI,2, Zhuoqun FENG,2, Sunbin DENG,2, Sisi WANG,2, Hoi-Sing KWOK,2,3 and Man WONG*,2 Department

More information

The semiconductor industry is now

The semiconductor industry is now Gate Dielectric Process Technology for the Sub-1 nm Equivalent Oxide Thickness (EOT) Era by L. Colombo, J. J. Chambers, and H. Niimi The semiconductor industry is now in its third generation of gate dielectrics.

More information

Growth of oxide thin films on 4H- silicon carbide in an afterglow reactor

Growth of oxide thin films on 4H- silicon carbide in an afterglow reactor University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2006 Growth of oxide thin films on 4H- silicon carbide in an afterglow reactor Eugene L. Short University of

More information

High Thermal Conductivity Silicon Nitride Ceramics

High Thermal Conductivity Silicon Nitride Ceramics Journal of the Korean Ceramic Society Vol. 49, No. 4, pp. 380~384, 2012. http://dx.doi.org/10.4191/kcers.2012.49.4.380 Review High Thermal Conductivity Silicon Nitride Ceramics Kiyoshi Hirao, You Zhou,

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

OXIDE SEMICONDUCTOR thin-film transistors (TFTs)

OXIDE SEMICONDUCTOR thin-film transistors (TFTs) JOURNAL OF DISPLAY TECHNOLOGY, VOL. 8, NO. 1, JANUARY 2012 35 Effect of Self-Assembled Monolayer (SAM) on the Oxide Semiconductor Thin Film Transistor Seung-Hwan Cho, Yong-Uk Lee, Jeong-Soo Lee, Kang-Moon

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) PREPARED BY: You-Sheng (Wilson) Lin, Nanolab Staff 7-30-2013 Superusers: Steve Franz You-Sheng Lin Max Ho X68923 X68923

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Optical Constants of Ge and GeO 2 from Ellipsometry

Optical Constants of Ge and GeO 2 from Ellipsometry Optical Constants of Ge and GeO 2 from Ellipsometry T. Nathan Nunley, Nalin Fernando, Jaime Moya, Nuwanjula S. Samarasingha, Cayla M. Nelson, Stefan Zollner Department of Physics, New Mexico State University,

More information

Atomic Layer Deposition of SrTiO 3 Films with Cyclopentadienyl- Based Precursors for Metal Insulator Metal Capacitors

Atomic Layer Deposition of SrTiO 3 Films with Cyclopentadienyl- Based Precursors for Metal Insulator Metal Capacitors pubs.acs.org/cm Atomic Layer Deposition of SrTiO 3 Films with Cyclopentadienyl- Based Precursors for Metal Insulator Metal Capacitors Woongkyu Lee, Jeong Hwan Han, Woojin Jeon, Yeon Woo Yoo, Sang Woon

More information

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers Mat. Res. Soc. Symp. Proc. Vol. 810 2004 Materials Research Society C3.5.1 oron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers M. S. Carroll 1 J. C. Sturm, Dept. of Electrical Engineering,

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Growth of SiC thin films on graphite for oxidation-protective coating

Growth of SiC thin films on graphite for oxidation-protective coating Growth of SiC thin films on graphite for oxidation-protective coating J.-H. Boo, a) M. C. Kim, and S.-B. Lee Department of Chemistry, Sungkyunkwan University, Suwon 440-746, Korea S.-J. Park and J.-G.

More information

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology

Brian Izzio 5th Year Microelectronic Engineering Student. Rochester Institute of Technology CAPACITAWZE-VOI~TAGE characterization FOR POLYSILICON GATE MOS CAPACITORS DJTRODUcTION Brian Izzio 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT The effects of

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

Synthetic antiferromagnet with Heusler alloy Co 2 FeAl ferromagnetic layers

Synthetic antiferromagnet with Heusler alloy Co 2 FeAl ferromagnetic layers Synthetic antiferromagnet with Heusler alloy Co 2 FeAl ferromagnetic layers X. G. Xu, D. L. Zhang, X. Q. Li, J. Bao, Y. Jiang State Key Laboratory for Advanced Metals and Materials, School of Materials

More information

LEAKAGE CURRENT REDUCTION OF MOS CAPACITOR INDUCED BY RAPID THERMAL PROCESSING

LEAKAGE CURRENT REDUCTION OF MOS CAPACITOR INDUCED BY RAPID THERMAL PROCESSING University of Kentucky UKnowledge University of Kentucky Master's Theses Graduate School 2010 LEAKAGE CURRENT REDUCTION OF MOS CAPACITOR INDUCED BY RAPID THERMAL PROCESSING Yichun Wang University of Kentucky,

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices Available online at www.sciencedirect.com www.elsevier.com/locate/xxx Physics Physics Procedia 2 (2009) (2008) 983 988 000 000 www.elsevier.com/locate/procedia Proceedings of the JMSM 2008 Conference Effect

More information