Extended defects of ion-implanted

Size: px
Start display at page:

Download "Extended defects of ion-implanted"

Transcription

1 Extended defects of ion-implanted GaAs K. S. Jones Department of MateriaIs Science & Engineering, University of Florida, Gainesville, Florida E. L. Allen, H. G. Robinson, and D. A. Stevenson Department of Materials Science & Engineering, Stanford University, Stanford, California 94305,... M. D. Deal and J. D. Plummer Integrated Circuits Laboratory Stanford University, Stanford, California (Received 6 June 1991; accepted for publication 26 August 1991) Ion-implantation-induced extended defect formation and annealing processes have been studied in GaAs. Mg, Be, Si, Ge, and Sn ions were implanted between 40 and 185 kev over the dose range of 1 X 10t3-1 X 1015/cm2. Furnace annealing after capping with S&N4 was performed between 700 and 900 C for times between 5 min and 10 h. Plan-view and crosssectional transmission electron microscopy results were correlated with secondary-ionmass spectroscopy profiles. The results indicate subthreshold (type-i) defect formation occurs at a dose of 1 X 10i4/cm2 for high-energy, light (Mg, Be) ions but not for heavier ions (Si, Ge, Sn) at shallower projected ranges ( < 500 A). Si and Ge implants at a dose of 1 x 10 s/cm2 both show extended defect formation upon annealing that is believed to be precipitation related (type-v defects). For Si implants, these dislocation loops are eliminated after 10 h at 900 C. Upon annealing 1 X 1015/cm2 Sn implants, unusual precipitate motion both toward the surface and into the crystal was observed. Type-II defects are observed but only in the as-implanted cross section. In addition, a layer of dislocatiqn loops formed at a depth much greater than the type-ii defect layer. These defects appear to be a new type of defect possibly related to either the different binary recoil distributions of Ga and As or differences in vacancy and interstitial diffusivities. It is shown that, with modifications to account for the binary nature of the target, the classification scheme developed for extended defects in silicon can be applied to implantation of gallium arsenide. I. lntroductlon Ion implantation of GaAs has been used for a number of years for both doping and isolation purposes in microelectronic applications, as well as for superlattice mixing in optoelectronic applications. The interaction between implantation-related point~defects, impurity diffusion, and extended defect formation is well documented in silicon.2*3 For dopant implantations in GaAs, it is generally recognized that point defects, rather than extended defects, are more important for electrical activation.4y5 However, it has been shown that dislocations can indirectly influence the electrical activation.6 7 In addition, recent results indicate the point defects introduced by the ion implantation process can affect the subsequent diffusion processesg- 2 as well as the process of extended defect formation. Finally, for superlattice intermixing studies, dislocation loop formation has been shown to retard the interdiffusion process.13 Thus, there is a need to understand the source of extended defects, in order to optimize the modelling of diffusion, electrical activation, and superlattice mixing in ion-implanted GaAs. The sources of ion-implantation-related defects in Si and GaAs have been investigated for a number of years. For silicon studies, this culminated in a five category classification scheme for defects based on their origin3 Type-I (subthreshold) defects form above a certain dose (1 x 1014/cm2) but prior to amorphization. They are generally observed for lighter ion implantation. Type-II (end of range), -111 (solid-phase regrowth related), and -IV (clamshell) defects are associated with amorphization and regrowth. Because of their dependence on the amorphization process, these defects in general are very sensitive to the point defects that arise from the elastic collision processes and, therefore, their formation kinetics.are more sensitive to ion mass than species. Type-V (precipitation related) defects arise upon annealing impurity profiles that exceed the solid solubility of the host matrix. The most common form are precipitates, although other defects such as dislocation loops can arise from the precipitation process. In general, most of the previous transmission electron microscopy (TEM) studies of implanted GaAs lack the combination of as-implanted and annealed cross-sectional samples necessary to determine the position of extended defects relative to the damage density distribution and the ion distribution. This correlation is essential to discern the source of the defects. In spite of the absence of such a correlation, the following observations have been made. Extrinsic dislocation loops have been reported upon annealing high-energy (>I90 kev), low-dose (<5X1014/cm2) Sef,14 P+,15 and Si+ (Ref. 169 implants. These dislocation loops are probably type-1 defects. Type-III defects always form upon solid-phase epitaxial regrowth of any amorphous layers in implanted GaAs, re gardless of the substrate orientation, if the amorphous layer is greater than 400 %, thick. 7-u These defects (mi J. Appl. Phys. 70 (1 I), 1 December 1991 American institute of Physics 6790

2 Be kev Mg 150 kev Si 40 kev Ge+ 110 kev Sn+ 185 kev FIG. 1. Plan-view TEM (bright field, guo) results of 1 X 10 4/cma implants after annealing at 900 C for 15 min for Be and Mg, 30 min for Si, Sn, and Ge. crotwins and stacking faults) dissolve upon annealing at 600 0C.1*23124 The only report of possible type-iv defects is for dislocation loops observed in the GaAs layers of implanted GaAs/AlAs superlattices. A number of type-v defects has also been reported. Metallic precipitate formation has been reported for Sn (Ref. 26) and Zn (Ref. 27) implants. Sadana4 noted that dislocation loops form in Se + -implanted GaAs and first suggested that the disldcations were related to exceeding the solid solubility. Opyd et ~1.~~ noted type-v dislocation loop formation for Si + implants, and Morita and co-workers27 also observed type-v dislocation loops in Zn -implanted GaAs. Dislocation loops were found in annealed Sn-implanted GaAs for doses between 5X 1014 and lx 1015/cm2 by Shim, Itok, and Yamamoto.28 These dislocations might be type V or a different type of defect, as will be discussed. They also observed precipitate formation for doses of > 1 X 1015/cm2. Finally, Ar bubbles have been observed upon annealing Ar + -implanted G~As.~ This paper represents the first attempt to extend the defect classification scheme for implanted Si to the implantation of GaAs. A number of species (Be, Mg, Si, Ge, Sn), at various doses and annealing conditions, have been studied in an effort to better understand and predict the conditions that result in extended defects formation and elimination. II. EXPERIMENTAL Undoped Furakawa (100) liquid-encapsulated Czochralski (LEC) semi-insulating GaAs wafers were implanted with Mg+, Be +, lzosn +, 74Ge +, or 2gSi + at energies between 40. and 185 kev and doses between 1 X 1013 and 1 X 10t5/cm2. The current density varied between 0.1 and 0.4,uA/cm2. The implants were done at room temperature. The samples were capped with =:900-A Si3N4 by plasma-enhanced chemical-vapor deposition (PECVD) prior to furnace annealing. The furnace annealing was done between 700 and 900 C for times from 5 min to 10 h. Both plan-view and cross-sectional TEM analysis was done on either a JEOL 200CX or JEOL 4000FX using two-beam bright field gea or g220 imaging conditions. Ill. RESULTS Figure 1 shows plan-view TEM results of 1 x 10t4/cm2 implants at various energies after 900 C! annealing. Category I defects are observed only in the case of Mg + or Be + implants. All dislocation loops were determined to be extrinsic. These defects persist for up to 4 h at 900 C. For Si, Sn, and Ge no dislocation loops were observed. When the dose for the Mg implantation was reduced to 1 X 10t3/cm2 no extended defects were observed upon annealing at 900 C for 15 min. In Fig. 2, a cross-sectional TEM analysis combined with secondary-ion-mass spectroscopy (SIMS) profiles (same scale) show that the subthreshold defects (for a 150-keV 1 i< 1014/cm2 Mg implant) are distributed in a wide band around the original projected range. Upon increasing the dose to 1 X 1015/cm2 the following observations were made. Implantation and annealing of Sn implants at a dose of 1 X 1015/cm2 is shown in cross section in Fig. 3. Again the cross-sectional TEM and the SIMS plots are on the same scale. The as-implanted morphology shows a surface amorphous layer 700 A thick and a layer of type-ii defects at 900 A below the surface. 4nnealing at 700 C! results in a well-defined band of precipitates as well as a layer of loops at a deeper depth. These loops are at a depth ( 1600 A) much greater than the location of the type-11 defects in the as-implanted microstructure (900 A). These loops are-therefore not type-ii defects. The Sn-related dislocation loops may be a form of type-1 defects, not observed in silicon, that are related to the recoil distribution, as will be discussed. Upon additional annealing between 700 and 900 C!, two different phenomena are observed. First, the band of precipitates was observed to move deeper into the crystal. This was confirmed by SIMS measurements and is plotted from TEM results in Fig. 4. This motion of the precipitate band is very unusual in that it involves uphill diffusion. Second, 6791 J. Appl. Phys., Vol. 70, No. 11, 1 December 1991 Jones et a/. 6791

3 Implanted Mg O15W.... Depth (microns) FIG. 2. Cross-sectional TEM (bright field, g2& micr&raph and SIMS plot of 150-keV 1 X 10 4/cmZ Mg + annealing. implant after 900% 15-min the dislocation loops formed at 1600 A are shown to dissolve completely after 30 min at 900 C. Upon implantation with llo-kev Ge + at a dose of 1 x 10 5/cm2, amorphization was again observed (Fig. 5) with solid-phase epitaxy occurring either during the implantation process by ion-beam-induced epitaxial crystallization (IBIEC), during the S&N4 capping process or during the sample preparation process. The type-ii defects are seen in a fine layer z A deep. The large dislocation loops that form upon annealing at 900 C! are believecj to be type-v (precipitatiop related) defects. The loops form at a depth ( A) which is close to the projected range as measured by SIMS (400 A) and shallower than the type-ii defects position. For 40-keV Si implants at a dose of 1 X 101 /cm2 again any amorphous layer has been regrown either by IBIEC or during sample preparation (Fig. 6). Between 5 and 30 min at 900 C, defects are observed to form between 400 and 900 A deep, which is slightly deeper than the SIMS projected range of 275 A. These defects appear to be type-v defects. Further annealing results in complete elimination of this dislocation layer after 10 h at 900 C. IV. DISCUSSION As.lmplanted 703-c Concentration (cm ) There are definite differences in type-1 defect formation in GaAs and Si. The threshold dose for type-1 defect formation in Si substrates does not show a strong energy or mass dependence.3 However, in GaAs there appears to be both a mass and energy dependence that may be the result of the different recoil distribution of Ga and As or differences in point-defect diffusivities. This is supported by the observation that the defects in the Mg + implant were distributed over a broad depth range whereas in implanted Si they are typically in a well-defined layer.3 A previous report by Stewart et al. of low-dose ( <10 4/cm2) 240-keV Si+ implants in GaAs also shows the type-1 defects distributed over a broader depth range ( A).29 8oo C- SQO C 0 Concentration (cm ) 4 s; $ GA Zi < c. ID D Es :!2 8 k w 1400rn FIG. 3. Cross-sectional TEM micrographs of 18%keV Sn, 1 X 10 5/cm2 implants and corresponding SIMS profiles. The anneals were done for 30 min. The as-implanted cross-sectional image is a weak beam dark field, g*20 micrograph. The amorphous layer is labeled (a). All annealed crosssectional images are bright field g,,, micrographs. The surface is indicated by an arrow Anneal Temperature ( C) (30 minutes) FIG. 4. Graph of the deeper precipitate layer motion upon 30-min annealing for the 185-keV Sn 1 X lo /cm* implant J. Appl. Phys., Vol. 70, No. 11, 1 December 1991 Jones et al. 6792

4 As-Implanted 900-C 30 minutes Concentration (cm? mg. 5. Cross-sectional~and plan-view (bright field gzzo) micrographs of a 1 lo-kev, 1 X 10 5/cm2 Ge + implant and the corresponding SIMS profile. The arrows in the as-implanted cross section denote the surface(s) and the type-11 defect layer (II). Note the well-defined network formation near RP upon annealing. The SIMS results show the projected range for the 1 x 10 4/cm2 Si, Ge, and Sn implants (no defects) is approximately 380 A whereas the projected range for the Mg and Be implants is 1900 and 5000 A, respectively. We have previously reported that even Soiver-energy 20-keV Si implants show no defects at doses of 1X 10 4/cm2 upon 900 C rapid thermal annealing (RTA)30 which would support a model that type-1 defect formation in GaAs is energy dependent. Other results for higher-energy, heavierion, 200-keV 31P + implants I5 (Rp zz 1950 A from TRIM 190) show loop formation at doses as low as 3 x 1013/cm2 and 300-keV Se+ (R z 1220 A from TRIM 190) and 240-keV Sif Rp z 2500 Af rom TRIM 90) shows a threshold dose as low as 1 X 10 3/cm2. 4~29 These results would imply that type-1 defect formation in GaAs is very sensitive to the implant energy, with the defects forming only for deeper implants. This would also support an argument that effects from the binary (Ga, As) nature of the target are more important for dislocation loop formation than the implanted ion concentration which is diluted upon increasing energy. Other Si+ and Se+ implant studies16 noted the dislocation loops formed at depths deeper than Rp which they have attributed to the migration of interstitials (implanted ions, Ga, and/or As recoils) to deeper depths prior to the nucleation of dislocation loops. There remains an unexplained previously reported result that 120-keV Se + implants yield dislocation loops at 6793 J. Appl. Phys., Vol. 70, No. 11, 1 December 1991 doses as low as 3 X 10 3/cm2, 4 despite the shallower projected range (RP A;, TRIM -90): This may indicate ihat defebt formation in Se + implants is somehow related to the chemistry of the ion. We are presently conducting additional studies to better determine the chemical and energy dependence of type-1 defect formation. The dislocation loops from the 185-keV 1 X 1015jcm2 Sn+ implants (Fig. 3) form at a depth (1600 A) much greater than the position of the type-ii defect layer (900 b;) in the as-implanted sample. Dislocation loop formation in Sn-implanted GaAs has also been reported by Shim and co-workers2s in this dose regime upon 900 C annealing, although the depth of the dislocation loops was not determined. As discussed previously,3 type-ii defects are,also called end-of-range dislocation loops and form in the damaged region just below the amorphous/crystalline interface. There is no mention in the literature of type-ii defect formation in GiAs. It is noted by studying the results of Opyd et al. 24 that for Si imp lants the type-ii defect layer observed to form just below the amorphous/crystalline interface apparently dissolves upon annealing at 600 C for 30 s. Our Si + and Ge + results support the observation that the type-ii defects in GaAs are very unstable. This is in stark contrast to type-ii defects in -iniplanted silicon, which are known to be. quite stable.3 30 The instability of type-ii defects would support the argument that the loops in the Sn-implanted sample are not type-ii loops. Simula- Jones et a/. 6793

5 As-Implanted 5 minutes 30 minutes Concentration (cm-3 s, g;, ;;, z:, ;;; 0 Cross-section Plan-view FIG. 6. Cross-sectional (weak beam dark field, gzzo) and plan-view (bright field, g,,,) micrograph of a 40-keV, 1 X 10 5/cm2 Si + implant and the correspoliding SIMS plot. The annealing temperature was 900 C. The arrows denote the surface. Note the development bf defects between 5- and 30-min annealing. tions using the Boltzmann transport equations developed in Ref. 32 show that after 185-keV Sn implantation, excess Ga interstitials exist from a depth of 500 to beyond 2000 A. The dislocation loops exist within this region, implying that these defects are of a new type, neither type I nor type II. If the excess Ga interstitials are responsible for extrinsic loops, then excess Ga vacvlcies should be available for Sn activation. Quantitatively, the concentration of interstitials in these loops is (from plan-view measurements) at most 2~ 1013/cm2 after 800 C 30-min annealing. Although this may be a significant fraction of the low-temperature.activated dose, observations that the activation continues to increase at higher temperatures after the loops have dissolved implies that if these extended defects affect the electrical activity it is only at lower annealing temperatures. These defects may be related to the variation in recoil distributions of the Ga and As, i.e., they may be a form of type-1 defects that form in a sample that has been amorphized. The loops appear to be relatively unstable with respect to normal type-1 or type-v defects having dissolved after 30 min at 900 C. It has been shown that the precipitates that form upon annealing the 1 X 1015/cm2 Sn+ implants are principally composed of the metallic P phase of tin.26 The formation of double concentration peaks upon Sn + post-implant anneals and their subsequent motion in opposite directions toward the surface and into the bulk constitutes separate uphill diffusion processes. Surface gettering could ac- count for the first peak, however, layer motion of the deeper peak and its associated precipitates is not well understood. In a separate experiment, the same results were obtained after rapid thermal annealing of uncapped samples, and therefore, the effect does not appear to arise from stress induced by the Si3N4 cap. It remains unclear what the driving force is for this phenomena. In order to attribute the dislocation loops observed upon annealing 1 X 10 5/cm2 Si + and Ge + implants to precipitation-related [type V) phenomena, it is necessary to determine if the peak concentration is above the solid solubility at the anneal temperature. Much of the Rutherford backscattering (RBS) solubility studies in implanted GaAs have focused on Sn, Sb, and Te.?3,34 They conclude that the solubility generally decreases between 700 and 900 C and varies with implant temperature. For the Si and Ge studies the 1 X 10 5/cm2 implant resulted in peak concentrations of 2 2 X 1020/cm3. The lack of solubility data for Ge makes it diecult to predict when precipitation occurs. However, given the previously discussed observations concerning the depth of the defects (near RJ and the instability upon annealing of other kinds (type II and III) of defects, it is reasonable to conclude that the defects observed upon annealing 1 X1015/em Ge implants are type-v defects. For the Si implants the defect formation process at 900 C, observed in Fig. 6, implies the loops are type-v defects. The electrical solubility of Si in GaAs at J. Appl. Phys., Vol. 70, No. 11, 1 December 1991 Jones et al. 6794

6 900 C is known to saturate at <5x 1018/cm3. Above this concentration Si becomes amphoteric. The solid solubility of Si in GaAs at 900 C as determined by RBS measurements has not been reported to the author s knowledge. From solid-source in-diffusion measurements the Si solubility has been estimated to be 10 at 900 0C,35 which is consistent with these being type-v defects. Again, the location of the defects, the instability of other types of defects, and the formation kinetics of the loops are consistent with type-v defects3 The formation of a high density of dislocations upon annealing higher-dose (> 1 x 10 5/cm2) silicon implants is consistent with previous studies. It has been previously noted that the loop morphology for higher-dose Si implants appear to vary with implant parameters such as dose rate. This is not generally observed for type-v defects formed in implanted silicon. V. CONCLUSIONS Type-I (subamorphization threshold) defects were shown to form upon annealing high-energy Mg and Be implants at a dose of =: 1 X 10t4/cm2. The defects- form over a broader depth range in GaAs than in silicon. GaAs appears to show a strong energ! dependence whereas Si does not. This would point to a mechanism of type-1 defect formation related to variations in the binary recoil distribution of differences in point-defect diffusivities. The type- II (end of range) defects never fully form well-defined dislocation loops and they dissolve at low temperatures (600 C, 30 s). This is very different from implanted silicon where the end-of-range defects consistently form and are one of the most stable forms of implantation-related extended defects. Type-III (regrowth related) defects are shown to dissolve by 700 C 30-min.anneaIs for Sn implants. Sn, Ge, and Si all produce type-v defects after a 1 x 10 /cm2 implant. For Sn implants a fine precipitate layer formed and split into two layers. The first layer of precipitates gettered near the surface and the second layer diffused deeper with increased annealing temperature or time. Both layers exhibit uphill diffusion. For Ge and Si implants the precipitation process results in dislocation loop formation. These type-v defects for the Si+ implants dissolve after 10 h at 900 C. Finally, a new category of defects was observed in the 1 i< lq15/cm2 Sri-implanted GaAs. Dislocation loops were observed at depths much greater than the amorphous/crystalline interface. It is proposed that these relatively unstable defects may be a new variation of type-1 defects that form in samples that have been amorphized. In general, the classification scheme developed for Si implantation applies to GaAs implantation with the addition of modifications to account for the dual nature of the interstitial recoil distributions in GaAs. ACKNOWLEDGMENTS. The authors would like to acknowledge the assistance of Alex Azan in the TEM sample preparation and the financial support of DARPA J. Appl. Phys., Vol. 70, No. 11, 1 December 1991 S. J. Pearton, Solid State Phenomena I/2, 247 (1988). *J. Narayan and 0. W. Holland, J. Electrochem. Sot. Solid-State Sci. Technol. 131, 2651 (1984). 3K. S.Jones, S. Prussin, and E. R. Weber, Appl. Phys. A 45, 1 (1988). 4D. K. Sadana, Nucl. Instrum. Methods Phys. Rea. B 7/S, 375 (1985). S. J. Pearton, J. M. Poate, F. Sette, J. M. Gibson, D. C. Jacobson, and J. S. Williams, Nucl. Instrum. Methods Phys. Res. 19/20, 369 (1987). F. Hyuga, J. Appl. Phys. 64, 3880 (1988). 7 S. Miyazawa and K. Wada, Appl. Phys. Lett. 48, 905 (1986). *H. G. Robinson, M. D. Deal, and D. A. Stevenson, Appl. Phys. Lett. 56, ). H. G. Robinson, M. D. Deal, and D. A. Stevenson, in Proceedings of Impurities, Defects and DSffusion in Semiconductors: Bulk and Layered Structures (Materials Research Society, Boston, MA 1990), p E. L. Allen, M. D. Deal, and J. D. Plummer, MRS Symp. Proc. 163, 685 (1990). E. L. Allen, M D. Deal, and J. D. Plummer, J. Appl. Phys. 67, 3311 (1990). E L. Allen, J. J. Murray, M. D. Deal, J. D. Plummer, K. S. Jones, and W. S. Rubart, J. Electrochem. Sot. 138, 3440 ( 1991). 13Y. Arakawa, J. S. Smith, A. Yariv, N. Otsuka, C. Choi, B. P. Gu, and T. Venkatesan, Appl. Phys. Lett. 50, 92 (1987). 14T. Bachmann and H. Bartsch, Nucl. Instrum. Methods Phys. Res. B 43, 529 (1989). R. Gwilliam, R. S. Deal, R. Blunt, and B. J. Sealy, MRS Symp. Proc. 92, 437 (1987)..- 16P. Bellon, J. P. Chevalier, and G. Martin, in Microscopy of Semiconducting Materials, 1987, edited by A. G. Cullis and P. D. Augustus (IGP, London, 1987), pp M. G. Grimaldi, B. M. Paine, M. M;ienp%i, M.-A. Nicolet, and D. K. Sadana, Appl. Phys. Lett. 39, 70 (1981). *D. K. Sadana, T. Sands, and J. Washburn, Appl. Phys. Lett. 44, 623 (1984). 19M. G. Grimaldi, B. M. Paine, M.-A. Nicolet, and D. K. Sadana, J. Appl. Phys. 52,4038 (1981). soy. I. Nassim, L. A. Christel, T. W. Sigmon, J. F. Gibbons, T. J. Magee, and R. Ormond, Appl. Phys. Lett. 39, 598 (1981). D. K. Sadana, H. Choski, J. Washburn, P. F. Byrne, and N. W. Cheung, Appl. Phys. Lett. 44, 301 (1984). *C! Licoppe, Y. I. Nissim, and C. d Anterroches, Phys. Rev. B 37, 1287 (1988). 23A. K. Rai, R. S. Bhattacharya, and P. P. Pronko, J. Appl. Phys. 54, 2307 (1983). 24W. G. Opyd, J. F. Gibbons, J. C. Bravman, and M. A. Parker, Appl. Phys. Lett. 49, 974 (1986). =J. S. Williams, R. G. Elliman, S. T. Johnson, D. K. Sengupta, and J. M. Zemanski, MRS Symp. Proc. 144, 355 (1989). 26M A Shahid, R. Bensalem, B. J. Scaly, P. N. Favennec, and M. Gauneau, Nucl. Instrum. Methods Phys. Res. B 30, 531 (1988). E. Morita, J. Kasahara, and S. Kawado, Jpn. J. Appl. Phys. 24, 1274 (1985). * T. E. Shim, T. Itok, and Y. Yamamoto, J. Appl. Phys. 61,4635 (1987). 29C. P. Stewart, R. T. Blant, G. R. Booker, and I. R. Sanders, Physica B 116, 635 (1983). 3o W. S. Rubart, K. S. Jones, L. Seiberling, and D. K. Sadana, MRS Symp. Proc. 157, 677 (1990). K. S. Jones, S. Prussin, and E. R. Weber, J. Appl. Phys. 62, 4114 (1987). 32L. A. Christel and J. F. Gibbons, J. Appl. Phys. 52, 5050 (1981). j3k. G. Orrman-Rossiter, S. T. Johnson, and J. S. Williams, Nucl. Instrum. Methods Phys. Res. B 7/8, 448 (1985). 34S. J. Pearton, J. S. Williams, K. T. Short, S: T. Johnson, J. M. Gibson, D. C. Jacobson, J. M. Poate, and D. 0. Boerma, J. Appl. Phys. 65, 1089 (1989). K. H. Lee, D. A. Stevenson, and M. D. Deal, J. Appl. Phys. 68, 4008 (1990). 3bI. S. Tashlykov, G. Carter, and M. J. Nobes, Sov. Phys. Semicond. 20, 497 (1986). T. E. Haynes and 0. W. Holland, Appl. Phys. Lett. 58, 62 (1991). Jones et a/. 6795

Amorphization of elemental and compound semiconductors upon ion implantation

Amorphization of elemental and compound semiconductors upon ion implantation Amorphization of elemental and compound semiconductors upon ion implantation K. S. Jones and C. J. Santana 214 Rhines Hall, Department of Materials Science and Engineering, University of Florida, Gainesville,

More information

Effect of implant temperature on ciopant diffusion and defect morphology for Si implanted GaAs

Effect of implant temperature on ciopant diffusion and defect morphology for Si implanted GaAs Effect of implant temperature on ciopant diffusion and defect morphology for Si implanted GaAs H. G. Robinsona) Department of Materials Science and Engineering, University of Florida, Gainesville, Florida

More information

Effect of Ion Energy on the Diffusion of Si Implanted into GaAs

Effect of Ion Energy on the Diffusion of Si Implanted into GaAs Effect of Ion Energy on the Diffusion of Si Implanted into GaAs Cynthia C. Lee, a Michael D. Deal, *'b Kevin S. Jones, *'~ Heyward G. Robinson/and John C. Bravman ~Department of Materials Science and Engineering,

More information

The formation of a continuous amorphous layer by room.. temperature implantation of boron into silicon

The formation of a continuous amorphous layer by room.. temperature implantation of boron into silicon The formation of a continuous amorphous layer by room.. temperature implantation of boron into silicon K. S. Jones Department a/materials Science and Engineering, University of Florida, Gainesville, Florida

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized silicon

Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized silicon Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized silicon R. A. Camillo-Castillo, a) M. E. Law, and K. S. Jones SWAMP Center, University of Florida,

More information

Oxidation induced precipitation in Al implanted epitaxial silicon

Oxidation induced precipitation in Al implanted epitaxial silicon JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 7 1 OCTOBER 2000 Oxidation induced precipitation in Al implanted epitaxial silicon A. La Ferla, G. Galvagno, P. K. Giri, G. Franzò, and E. Rimini Dipartimento

More information

Defects in Ge and Si caused by 1 MeV Si + implantation *

Defects in Ge and Si caused by 1 MeV Si + implantation * Defects in Ge and Si caused by 1 MeV Si + implantation * D. P. Hickey a Department of Materials Science and Engineering, University of Florida, 525 Engineering Building, P.O. Box 116400, Gainesville, Florida

More information

I. GaAs Material Properties

I. GaAs Material Properties I. GaAs Material Properties S. Kayali GaAs is a III V compound semiconductor composed of the element gallium (Ga) from column III and the element arsenic (As) from column V of the periodic table of the

More information

Interaction of ion-implantation-induced interstitials in B-doped SiGe

Interaction of ion-implantation-induced interstitials in B-doped SiGe Materials Science in Semiconductor Processing 10 (2007) 1 5 Interaction of ion-implantation-induced interstitials in B-doped SiGe R.T. Crosby a,, K.S. Jones a, M.E. Law a, L. Radic a, P.E. Thompson b,

More information

Damage buildup in GaN under ion bombardment

Damage buildup in GaN under ion bombardment PHYSICAL REVIEW B VOLUME 62, NUMBER 11 15 SEPTEMBER 2000-I Damage buildup in GaN under ion bombardment S. O. Kucheyev,* J. S. Williams, and C. Jagadish Department of Electronic Materials Engineering, Research

More information

TRANSMISSION ELECTRON MICROSCOPY STUDIES OF Kr+-IMPLANTED SILICON

TRANSMISSION ELECTRON MICROSCOPY STUDIES OF Kr+-IMPLANTED SILICON Vol. 85 (1994) ACTA PHYSICA POLONICA A No. 5 TRANSMISSION ELECTRON MICROSCOPY STUDIES OF Kr+-IMPLANTED SILICON J. MORAWIEC Institute of Physics, Polish Academy of Sciences Al. Lotników 32/46, 02-668 Warszawa,

More information

Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers

Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers JOURNAL OF APPLIED PHYSICS VOLUME 87, NUMBER 10 15 MAY 2000 Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers C. D. Marsh a) Department of Materials, University

More information

Jr data for 3 x 1013 cm - 2

Jr data for 3 x 1013 cm - 2 Jr 3 Progress on two publications is described. Problem Areas A great deal of time is being required to get RBS and XTEM samples prepared and measurements made on busy equipment. Therefore results are

More information

Vacancylike defects in GaAs after ion implantation

Vacancylike defects in GaAs after ion implantation Vacancylike defects in GaAs after ion implantation S. Eichler, R. Krause-Rehberg Martin-Luther-Universität Halle-Wittenberg, FB Physik Outline: Introduction Doping effects Weak damage Strong damage Summary

More information

Impact of the end of range damage from low energy Ge preamorphizing implants on the thermal stability of shallow boron profiles

Impact of the end of range damage from low energy Ge preamorphizing implants on the thermal stability of shallow boron profiles JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 9 1 NOVEMBER 2004 Impact of the end of range damage from low energy Ge preamorphizing implants on the thermal stability of shallow boron profiles R. A. Camillo-Castillo

More information

Effect of fluorine implantation dose on boron thermal diffusion in silicon

Effect of fluorine implantation dose on boron thermal diffusion in silicon JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 8 15 OCTOBER 2004 Effect of fluorine implantation dose on boron thermal diffusion in silicon H. A. W. El Mubarek, J. M Bonar, G. D. Dilliway, and P. Ashburn

More information

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers Mat. Res. Soc. Symp. Proc. Vol. 810 2004 Materials Research Society C3.5.1 oron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers M. S. Carroll 1 J. C. Sturm, Dept. of Electrical Engineering,

More information

Extended Abstracts of the Sixth International Workshop on Junction Technology

Extended Abstracts of the Sixth International Workshop on Junction Technology IWJT-2006 Extended Abstracts of the Sixth International Workshop on Junction Technology May.15-16, 2006, Shanghai, China Editors: Yu-Long Jiang, Guo-Ping Ru, Xin-Ping Qu, and Bing-Zong Li IEEE Press IEEE

More information

Ion implantation into GaN

Ion implantation into GaN Materials Science and Engineering, 33 (2001) 51±107 Ion implantation into GaN S.O. Kucheyev a,*, J.S. Williams a, S.J. Pearton b a Department of Electronic Materials Engineering, Research School of Physical

More information

Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x

Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x Journal of the Korean Physical Society, Vol. 39, December 2001, pp. S83 S87 Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x Yohan Sun, Se-Young Seo and Jung H.

More information

Nickel precipitation at nanocavities in separation by implantation of oxygen

Nickel precipitation at nanocavities in separation by implantation of oxygen Nickel precipitation at nanocavities in separation by implantation of oxygen Miao Zhang Department of Physics and Material Sciences, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong

More information

Antimony Clustering due to High-dose Implantation

Antimony Clustering due to High-dose Implantation Mat. Res. Soc. Symp. Vol. 610 2000 Materials Research Society Antimony Clustering due to High-dose Implantation Kentaro Shibahara and Dai Onimatsu Research Center for Nanodevices and Systems Hiroshima

More information

FORMATION OF BURIED OXIDE IN MEV OXYGEN IMPLANTED SILICON

FORMATION OF BURIED OXIDE IN MEV OXYGEN IMPLANTED SILICON 73 FORMATION OF BURIED OXIDE IN MEV OXYGEN IMPLANTED SILICON C.W.NIEH, F. XIONG, C. C. AHN, Z. ZHOU*, D. N. JAMIESON, T. VREELAND JR., B. FULTZ, and T. A. TOMBRELLO. Materials Research Group California

More information

Raman and ion channeling analysis of damage in ion-implanted Gab: Dependence on ion dose and dose rate

Raman and ion channeling analysis of damage in ion-implanted Gab: Dependence on ion dose and dose rate Raman and ion channeling analysis of damage in ion-implanted Gab: Dependence on ion dose and dose rate U. V. Desnica Ruder Boskovic Institute, 41000 Zagreb, Croatia, Yugoslavia J. Wagner Fraunhofer-Institut

More information

Synthesis of GaN x As 1Àx thin films by pulsed laser melting and rapid thermal annealing of N -implanted GaAs

Synthesis of GaN x As 1Àx thin films by pulsed laser melting and rapid thermal annealing of N -implanted GaAs JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 2 15 JULY 2003 Synthesis of GaN x As 1Àx thin films by pulsed laser melting and rapid thermal annealing of N -implanted GaAs K. M. Yu a) and W. Walukiewicz

More information

Contents. Abbreviations and Symbols... 1 Introduction... 1

Contents. Abbreviations and Symbols... 1 Introduction... 1 Contents Abbreviations and Symbols... XIII 1 Introduction... 1 2 Experimental Techniques... 5 2.1 Positron Sources... 7 2.2 Positron Lifetime Spectroscopy... 9 2.2.1 Basics of the Measurement... 10 2.2.2

More information

Formation of Anomalous Defect Structure on GaSb Surface by Low Temperature Sn Ion-Implantation 1

Formation of Anomalous Defect Structure on GaSb Surface by Low Temperature Sn Ion-Implantation 1 Materials Transactions, Vol. 43, No. 4 (2002) pp. 674 to 680 c 2002 The Japan Institute of Metals Formation of Anomalous Defect Structure on GaSb Surface by Low Temperature Sn Ion-Implantation 1 Noriko

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Studies on Activation of High-Mobility III-V Group Semiconductor Materials by Using Microwave Annealing

Studies on Activation of High-Mobility III-V Group Semiconductor Materials by Using Microwave Annealing International Journal of Materials Science and Applications 2017; 6(3): 148-153 http://www.sciencepublishinggroup.com/j/ijmsa doi: 10.11648/j.ijmsa.20170603.16 ISSN: 2327-2635 (Print); ISSN: 2327-2643

More information

Materials Aspects of GaAs and InP Based Structures

Materials Aspects of GaAs and InP Based Structures AT&T Materials Aspects of GaAs and InP Based Structures V. Swaminathan AT&T Belt Laboratories Breinigsvil/e, Pennsylvania A. T. Macrander Argonne National Laboratory Argonne, Illinois m Prentice Hall,

More information

X-Ray Diffuse Scattering Study of the Kinetics of Stacking Fault Growth and Annihilation in Boron-Implanted Silicon.

X-Ray Diffuse Scattering Study of the Kinetics of Stacking Fault Growth and Annihilation in Boron-Implanted Silicon. SLAC-PUB-9244 June 2002 X-Ray Diffuse Scattering Study of the Kinetics of Stacking Fault Growth and Annihilation in Boron-Implanted Silicon. D. Luebbert, et al. Stanford Linear Accelerator Center, Stanford

More information

Future technologies for the electrical isolation of III-V semiconductor devices. Final report on grant no. GR/N32969 (including GR/S52797/01)

Future technologies for the electrical isolation of III-V semiconductor devices. Final report on grant no. GR/N32969 (including GR/S52797/01) Future technologies for the electrical isolation of III-V semiconductor devices Final report on grant no. GR/N32969 (including GR/S52797/01) Introduction This report, which summarises the work done by

More information

Future technologies for electrical isolation of III-V Semiconductor devices

Future technologies for electrical isolation of III-V Semiconductor devices Future technologies for electrical isolation of III-V Semiconductor devices Contents The project... 1 Description of results... 2 GaAs based materials... 2 InP based materials... 4 Other experiments...

More information

Modeling extended defect ˆ311 and dislocation nucleation and evolution in silicon

Modeling extended defect ˆ311 and dislocation nucleation and evolution in silicon JOURNAL OF APPLIE PHYSICS VOLUME 95, NUMBER 5 1 MARCH 2004 Modeling extended defect ˆ311 and dislocation nucleation and evolution in silicon Ibrahim Avci a) and Mark E. Law SWAMP Center, epartment of Electrical

More information

The stress assisted evolution of point and extended defects in silicon

The stress assisted evolution of point and extended defects in silicon The stress assisted evolution of point and extended defects in silicon Samir Chaudhry a) and Mark E. Law Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32611

More information

Electrical properties of multiple-layer structures formed by implantation of nitrogen or oxygen and annealed under high pressure

Electrical properties of multiple-layer structures formed by implantation of nitrogen or oxygen and annealed under high pressure JOURNAL OF APPLIED PHYSICS 99, 033506 2006 Electrical properties of multiple-layer structures formed by implantation of nitrogen or oxygen and annealed under high pressure Irina V. Antonova a Institute

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

DEFECT EVOLUTION FROM LOW ENERGY GERMANIUM IMPLANTS IN SILICON

DEFECT EVOLUTION FROM LOW ENERGY GERMANIUM IMPLANTS IN SILICON DEFECT EVOLUTION FROM LOW ENERGY GERMANIUM IMPLANTS IN SILICON By ANDRES FELIPE GUTIERREZ A THESIS PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS

More information

Determination of arsenic diffusion parameters by sulfur indiffusion in gallium arsenide

Determination of arsenic diffusion parameters by sulfur indiffusion in gallium arsenide JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 12 15 DECEMBER 2000 Determination of arsenic diffusion parameters by sulfur indiffusion in gallium arsenide R. F. Scholz, P. Werner, and U. Gösele Max-Planck-Institut

More information

Enhancement of Phosphorus Activation in Vacancy. Engineered thin Silicon-on-Insulator Substrates

Enhancement of Phosphorus Activation in Vacancy. Engineered thin Silicon-on-Insulator Substrates Enhancement of Phosphorus Activation in Vacancy Engineered thin Silicon-on-Insulator Substrates A J Smith*, R M Gwilliam and V Stolojan Ion Beam Centre, Advanced Technology Institute, University of Surrey,

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

Junction formation in Ge by coimplant. and pre-heating techniques

Junction formation in Ge by coimplant. and pre-heating techniques Junction formation in Ge by coimplant. and pre-heating techniques Takashi Kuroi Nissin Ion Equipment Co., Ltd. 0 Content Introduction Purpose and Motivation Experimental Acceptor impurity implanted Germanium

More information

Behaviour of Natural and Implanted Iron during Annealing of Multicrystalline Silicon Wafers

Behaviour of Natural and Implanted Iron during Annealing of Multicrystalline Silicon Wafers Behaviour of Natural and Implanted Iron during Annealing of Multicrystalline Silicon Wafers Daniel Macdonald 1,a, Thomas Roth 1,b, L. J. Geerligs 2,c and Andres Cuevas 1,d 1 Department of Engineering,

More information

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Cynthia N. Ginestra 1 Michael Shandalov 1 Ann F. Marshall 1 Changhyun Ko 2 Shriram Ramanathan 2 Paul C. McIntyre 1 1 Department

More information

Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells

Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells Wei Chen, Bhushan Sopori, Kim Jones, and Robert Reedy, National Renewable Energy Laboratory, Golden, CO; N. M.

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers The 5th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS Si Symposium), Nov. 10-14, 2008, Kona, Hawaii, USA Growth and characterization of tensile strained Ge on Ge

More information

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth.

Fig.1: Comparison of various implant species energy and dose on surface amorphous layer depth. Liquid Phase Epitaxy (LPE) Formation of Localized High Quality and Mobility Ge & SiGe by High Dose Ge-Implantation with Laser Melt Annealing for 10nm and 7nm Node CMOS Technology John Borland 1,2, Michiro

More information

PREPRINT. Proceedings of the 8th Int'l Conf. on Ion Beam Modification of Materials, Heidelberg, Germany, 7-11 September, 1992.]

PREPRINT. Proceedings of the 8th Int'l Conf. on Ion Beam Modification of Materials, Heidelberg, Germany, 7-11 September, 1992.] PREPRINT Proceedings of the 8th Int'l Conf. on Ion Beam Modification of Materials, Heidelberg, Germany, 7-11 September, 1992.] Lattice damage in ion-implanted silicon-germanium alloys j 3 5 -s e - 2-6

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

CHAPTERS SUMMARY OF RESULTS

CHAPTERS SUMMARY OF RESULTS CHAPTERS SUMMARY OF RESULTS Aluminium diffusion was investigated by NRA in five different semiconductors. Different experimental methods were employed. For in-diffusion investigation thin aluminium films

More information

GRAPHITE AND BN/ALN ANNEALING CAPS FOR ION IMPLANTED SIC

GRAPHITE AND BN/ALN ANNEALING CAPS FOR ION IMPLANTED SIC GRAPHITE AND BN/ALN ANNEALING CAPS FOR ION IMPLANTED SIC M.C. Wood*, K.A. Jones, T.S. Zheleva, K.W. Kirchner, M.A. Derenge U.S. Army Research Lab - SEDD, Adelphi, MD 20783 USA A. Bolonikov, T.S. Sudarshan,

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs

Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 5, MAY 2001 835 Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs Theodore Chung, Seth R. Bank, John Epple, and Kuang-Chien

More information

Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs

Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs 6.1. Overview Previous chapters described an AlGaAs-GaAs-GaN HBT, in which an epitaxially grown AlGaAs-GaAs emitter-base was wafer-fused to a GaN collector.

More information

Structural changes of polycrystalline silicon layers during high temperature annealing

Structural changes of polycrystalline silicon layers during high temperature annealing Structural changes of polycrystalline silicon layers during high temperature annealing D. Lysáček, L. Válek ON SEMICONDUCTOR CZECH REPUBLIC, Rožnov p. R., david.lysacek@onsemi.com Abstract The structure

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

Transient-Enhanced Diffusion in Shallow-Junction Formation

Transient-Enhanced Diffusion in Shallow-Junction Formation Journal of ELECTRONIC MATERIALS, Vol. 31, No. 10, 2002 Special Issue Paper Transient-Enhanced Diffusion in Shallow-Junction Formation A.T. FIORY, 1,6 S.G. CHAWDA, 1 S. MADISHETTY, 1 V.R. MEHTA, 1 N.M.

More information

High Performance AlGaN Heterostructure Field-Effect Transistors

High Performance AlGaN Heterostructure Field-Effect Transistors Kyma Inc. Contract ABR DTD 1/8/07; Prime: FA8650-06-C-5413 1 High Performance AlGaN Heterostructure Field-Effect Transistors Program Objectives The primary objectives of this program were to develop materials

More information

Semiconductors. The essential materials for microelectronics technology A key property: conductivity (or resistivity) - large dynamic range

Semiconductors. The essential materials for microelectronics technology A key property: conductivity (or resistivity) - large dynamic range Semiconductors The essential materials for microelectronics technology A key property: conductivity (or resistivity) - large dynamic range - controllable (or engineerable) Example of controllable conductivity

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts Direct contacts between aluminum alloys and thin film transistors (TFTs) contact layers were studied. An Al-Ni alloy was found to be contacted directly with an indium tin oxide (ITO) layer successfully

More information

Halbleiter Prof. Yong Lei Prof. Thomas Hannappel

Halbleiter Prof. Yong Lei Prof. Thomas Hannappel Halbleiter Prof. Yong Lei Prof. Thomas Hannappel yong.lei@tu-ilmenau.de thomas.hannappel@tu-ilmenau.de http://www.tu-ilmenau.de/nanostruk/ Solid State Structure of Semiconductor Semiconductor manufacturing

More information

doi: /

doi: / doi: 10.1063/1.366176 4f-shell configuration of Yb in InP studied by electron spin resonance T. Ishiyama a) and K. Murakami Institute of Materials Science, University of Tsukuba, 1-1-1 Tennoudai, Tsukuba,

More information

Contents. Introduction... Preface... VII

Contents. Introduction... Preface... VII Contents Introduction... V Preface... VII Fundamental Concepts of Ion-Beam Processing R.S. Averback, P. Bellon... 1 1 Introduction: Basic Mechanisms of Ion Solid Interactions... 1 1.1 Electronic Excitation....

More information

Hydrogen-induced surface blistering of sample chuck materials in hydrogen plasma immersion ion implantation

Hydrogen-induced surface blistering of sample chuck materials in hydrogen plasma immersion ion implantation Hydrogen-induced surface blistering of sample chuck materials in hydrogen plasma immersion ion implantation Paul K. Chu a) and Xuchu Zeng Department of Physics and Materials Science, City University of

More information

RECENTLY, p poly-si was recommended as the gate

RECENTLY, p poly-si was recommended as the gate IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 45, NO. 8, AUGUST 1998 1737 Argon Ion-Implantation on Polysilicon or Amorphous-Silicon for Boron Penetration Suppression in p pmosfet Lurng Shehng Lee and Chung

More information

LATTICE DEFORMATION IN Al xga1-xas EPITAXIAL LAYERS CAUSED BY IMPLANTATION WITH HIGH DOSES OF 1 MeV Si IONS

LATTICE DEFORMATION IN Al xga1-xas EPITAXIAL LAYERS CAUSED BY IMPLANTATION WITH HIGH DOSES OF 1 MeV Si IONS Vol. 96 (1999) ACTA PHYSICA POLONICA A No. 2 Proceedings of the III Int. School and Symposium on Physics in Materials Science, Jaszowiec '98 LATTICE DEFORMATION IN Al xga1-xas EPITAXIAL LAYERS CAUSED BY

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer Isaho KAMATA, Central Research Institute of Electric Power Industry (CRIEPI) Kamata@criepi.denken.or.jp Silicon carbide has excellent

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Diffusion of Ge in Si 1-x Ge x ÕSi single quantum wells in inert and oxidizing ambients

Diffusion of Ge in Si 1-x Ge x ÕSi single quantum wells in inert and oxidizing ambients JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 3 1 AUGUST 2000 Diffusion of Ge in Si 1-x Ge x ÕSi single quantum wells in inert and oxidizing ambients Michelle Griglione a) and Timothy J. Anderson Department

More information

Hydrogen in Semiconductors

Hydrogen in Semiconductors Hydrogen in Semiconductors SEMICONDUCTORS AND SEMIMETALS Volume 34 Volume Editors JACQUES I. PANKOVE UNIVERSITY OF COLORADO AT BOULDER BOULDER, COLORADO NOBLE M. JOHNSON XEROX PALO ALTO RESEARCH CENTER

More information

An economical fabrication technique for SIMOX using plasma immersion ion implantation. Min, J; Chu, PK; Cheng, YC; Liu, JB; Iyer, SSK; Cheung, NW

An economical fabrication technique for SIMOX using plasma immersion ion implantation. Min, J; Chu, PK; Cheng, YC; Liu, JB; Iyer, SSK; Cheung, NW Title An economical fabrication technique for SIMOX using plasma immersion ion implantation Author(s) Min, J; Chu, PK; Cheng, YC; Liu, JB; Iyer, SSK; Cheung, NW Citation Proceedings of the 4th International

More information

Doping fin field-effect transistor sidewalls : impurity dose retention in silicon due to high angle incident ion

Doping fin field-effect transistor sidewalls : impurity dose retention in silicon due to high angle incident ion Doping fin field-effect transistor sidewalls : impurity dose retention in silicon due to high angle incident ion implants and the impact on device performance Citation for published version (APA): Duffy,

More information

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN Li-Chien Chen et al.: The Effect of Heat Treatment on Ni/Au Ohmic Contacts 773 phys. stat. sol. (a) 176, 773 (1999) Subject classification: 73.40.Cg; S7.14 The Effect of Heat Treatment on Ni/Au Ohmic Contacts

More information

J.Dutkiewicz and J. Morgiel Institute for Metals Research, Polish Academy of Science, Krakow, Poland.

J.Dutkiewicz and J. Morgiel Institute for Metals Research, Polish Academy of Science, Krakow, Poland. 141 Effect of Ageing on Martensitic Transformation in CuZn and CuZnSn Alloys. J.Dutkiewicz and J. Morgiel Institute for Metals Research, Polish Academy of Science, Krakow, Poland. Introduction. The characteristic

More information

BN protective coating for high temperature applications

BN protective coating for high temperature applications Mat. Res. Soc. Symp. Proc. Vol. 697 22 Materials Research Society BN protective coating for high temperature applications Ravi Bathe, R.D. Vispute, Daniel Habersat, Ichiro Takeuchi, R.P. Sharma, T. Venkatesan.

More information

Infrared Spectroscopy of Light Impurities in GaSb

Infrared Spectroscopy of Light Impurities in GaSb Vol. 108 (2005) ACTA PHYSICA POLONICA A No. 4 Proceedings of the XXXIV International School of Semiconducting Compounds, Jaszowiec 2005 Infrared Spectroscopy of Light Impurities in GaSb P. Kaczor, Z. Kaliński

More information

CHAPTER 9: Ion Implantation

CHAPTER 9: Ion Implantation 1 CHAPTER 9: Ion Implantation Ion implantation is a low-temperature technique for the introduction of impurities (dopants) into semiconductors and offers more flexibility than diffusion. For instance,

More information

Gallium Arsenide monocrystalline

Gallium Arsenide monocrystalline Gallium Arsenide CMK manufacture Semi-insulating and Semiconducting Gallium Arsenide wafers and ingots by LEC (Liquid Encapsulated Czochralsky) or VGF (Vertical Gradient Freeze) growth method. Required

More information

PY2N20 Material Properties and Phase Diagrams

PY2N20 Material Properties and Phase Diagrams PY2N20 Material Properties and Phase Diagrams Lecture 9 P. Stamenov, PhD School of Physics, TCD PY2N20-9 Semiconductor Wafer Production - Si Czochralski Growth Growth from melt Melt 99.999999% pure polycrystalline

More information

Atomistic modeling of ion implantation technologies in silicon

Atomistic modeling of ion implantation technologies in silicon Atomistic modeling of ion implantation technologies in silicon Luis A. Marqués 1, Iván Santos, Lourdes Pelaz, Pedro López, María Aboy Departamento de Electrónica, Universidad de Valladolid, E.T.S.I. de

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

MANUSCRIPT COVER PAGE FORM. : Symposium D: Materials Science and Device Issues for Future Si-based Technologies

MANUSCRIPT COVER PAGE FORM. : Symposium D: Materials Science and Device Issues for Future Si-based Technologies MANUSCRIPT COVER PAGE FORM E-MRS Symposium : Symposium D: Materials Science and Device Issues for Future Si-based Technologies Paper Number : 2073 Title of Paper : Physically-Based Modeling of Dislocation

More information

Pelotas, , Pelotas, RS, Brazil 2 Instituto de Física, Universidade Federal do Rio Grande do Sul C.P ,

Pelotas, , Pelotas, RS, Brazil 2 Instituto de Física, Universidade Federal do Rio Grande do Sul C.P , XXVII SIM - South Symposium on Microelectronics 1 Structural and luminescence properties of Ge nanocrystals before and after an ion irradiation process 1 F. Nornberg, 2 F. L. Bregolin, 1,2 U. S. Sias francielefnornberg@gmail.com,

More information

DISSOLUTION AND SURFACE PROXIMITY EFFECTS OF LOW ENERGY, AMORPHIZING GERMANIUM IMPLANTS INTO SILICON ANDREW C. KING

DISSOLUTION AND SURFACE PROXIMITY EFFECTS OF LOW ENERGY, AMORPHIZING GERMANIUM IMPLANTS INTO SILICON ANDREW C. KING DISSOLUTION AND SURFACE PROXIMITY EFFECTS OF LOW ENERGY, AMORPHIZING GERMANIUM IMPLANTS INTO SILICON By ANDREW C. KING A THESIS PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL

More information

EVOLUTION OF DEFECTS IN AMORPHIZED SILICON

EVOLUTION OF DEFECTS IN AMORPHIZED SILICON EVOLUTION OF DEFECTS IN AMORPHIZED SILICON By ADRIAN EWAN CAMERON A THESIS PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF MASTER

More information

Recombination-Enhanced Dislocation Motion in SiGe and Ge

Recombination-Enhanced Dislocation Motion in SiGe and Ge I. Yonenaga et al.: Recombination-Enhanced Dislocation Motion in SiGe and Ge 35 phys. stat. sol. (a) 171, 35 (1999) Subject classification: 61.72.Lk; 61.72.Ff; 62.20. ±x; S5.12; S6 Recombination-Enhanced

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

SUBSURFACE DEFECTS IN SILICON INVESTIGATED BY MODULATED OPTICAL REFLECTANCE MEASUREMENTS

SUBSURFACE DEFECTS IN SILICON INVESTIGATED BY MODULATED OPTICAL REFLECTANCE MEASUREMENTS SUBSURFACE DEFECTS IN SILICON INVESTIGATED BY MODULATED OPTICAL REFLECTANCE MEASUREMENTS Jeff Bailey and Eicke Weber Department of Materials Science University of California, Berkeley Berkeley, CA 94720

More information

Defect distribution and evolution in He 1 implanted Si studied by variableenergy

Defect distribution and evolution in He 1 implanted Si studied by variableenergy Thin Solid Films 333 (1998) 245±250 Defect distribution and evolution in He 1 implanted Si studied by variableenergy positron beam Miao Zhang a, *, Chenglu Lin a, Huiming Weng b, R. Scholz c,u.goèsele

More information