Determining the Effects of Slurry Surfactant, Abrasive Size, and Abrasive Content on the Tribology and Kinetics of Copper CMP

Size: px
Start display at page:

Download "Determining the Effects of Slurry Surfactant, Abrasive Size, and Abrasive Content on the Tribology and Kinetics of Copper CMP"

Transcription

1 Journal of The Electrochemical Society, G299-G /2005/152 4 /G299/6/$7.00 The Electrochemical Society, Inc. Determining the Effects of Slurry Surfactant, Abrasive Size, and Abrasive Content on the Tribology and Kinetics of Copper CMP Z. Li, a, *,z K. Ina, b P. Lefevre, c, ** I. Koshiyama, b and A. Philipossian a, ** a Department of Chemical and Environmental Engineering, University of Arizona, Tucson, Arizona 85721, USA b Fujimi Incorporated, Kagamigahara, Gifu Prefecture , Japan c Fujimi Corporation, Tualatin, Oregon 97062, USA G299 The effects of slurry surfactant, abrasive size, abrasive content, wafer pressure, and sliding velocity on frictional and kinetics attributes of copper chemical mechanical planarization were studied. While abrasive content did not affect the tribological mechanism of the process, abrasive size was shown to be a significant factor. Surfactant-containing formulations were also shown to dramatically reduce coefficient of friction COF. At low pressures and velocities, the removal rate was independent of surfactant content, abrasive diameter, and abrasive concentration, while at high pressures and velocities, surfactant-containing slurries caused an increase in removal rate. Slurries containing a larger abrasive increased removal rate. No correlation was observed between the removal rate and COF. Instead the removal rate was shown to loosely correlate with the variance of the frictional force, thus suggesting that the rapid formation and extinction of the copper oxide layer as captured by the variance of frictional forces i.e., stick-slip was the rate-determining step The Electrochemical Society. DOI: / All rights reserved. Manuscript submitted November 10, 2003; revised manuscript received November 21, Available electronically March 14, Slurry formulation is a critical factor in ensuring chemical mechanical planarization CMP processes with superior yield, manufacturability, cost of ownership, and environmental friendliness. Formulations focused on lowering the coefficient of friction COF, and thus improving pad life, will lead to reduced pad consumption, higher tool availability, and significant capital cost avoidance due to the fact that reinstalling, preparing, and requalifying pads can take away hours of otherwise useful production time from a polishing tool. Furthermore, lowering the COF will help reduce chances of low-k dielectric delamination during copper CMP, which has been identified as one of the major integration challenges for the 70 nm and beyond technologies. 1,2 In addition, reducing abrasive content of the slurry without compromising performance will lead to lower solid wastes and will lessen the burden on copper waste treatment. This study aims to understand the effect of slurry surfactant, abrasive diameter, and abrasive concentration on the frictional and removal rate attributes of copper CMP. Experimental Experiments were performed on a scaled version of a Speedfam- IPEC 472 polisher using Rodel s IC-1000 k-groove pad. Details of the experimental apparatus are described elsewhere. 3,4 A computer synchronized the friction table to the polishing process so that the real-time friction data could be obtained. Copper disks, having a nominal diameter of 100 mm, and a purity of at least 99.99% acted as substrates. A precise balance, with a readability of 0.01 mg, was used to measure the weight of the disk before and after polishing to calculate the removal rate. Previous studies 3,5 have shown the reproducibility in determining the COF and removal rate to be approximately 0.05 and 250 A/min, respectively. Previous studies 6 had shown that copper disks were viable alternatives to copper-deposited wafers for tribological and kinetic investigations. Eight hydrogen peroxide based slurries containing colloidal silica abrasives of varying diameters and contents were tested. One-half of the formulations also contained equal amounts of a proprietary surfactant. Table I summarizes various features of the eight slurry formulations. Prior to data acquisition, the pad was conditioned for 30 min using ultrapure water at a nominal diamond disk pressure of 0.5 psi, * Electrochemical Society Student Member. ** Electrochemical Society Active Member. z zhonglin@ .arizona.edu rotational velocity of 30 rpm, and sweep frequency of 20/min. Pad conditioning was followed by a 5 min pad break-in with the subject slurry. Experiments were conducted with in situ conditioning at the same disk velocity and oscillation frequency as above. Three settings of applied wafer pressures, p 1.5, 2.0, and 2.5 psi and relative pad-wafer velocity, U 0.46, 0.63, and 1.09 m/s were used resulting in nine different combinations of p U. In all cases, the slurry flow rate was kept constant at 80 cm 3 /min. Theoretical Approach Sommerfeld number. To determine the dominant tribological mechanism during CMP, Stribeck curves are presented using a dimensionless grouping of CMP-specific parameters, called the Sommerfeld number shown in Eq. 1 So = U 1 p In the above equation is the slurry viscosity, U is the relative pad-wafer average linear velocity, p is the applied wafer pressure, and is the effective slurry thickness in the pad-wafer region. Determination of U and are fairly straightforward as the latter can be measured experimentally for a given slurry, while the former depends on tool geometry and angular velocities of the wafer and the platen. Previous dual emission laser induced fluorescence DELIF experimental results 7,8 have shown that the slurry film thickness in the pad-wafer region ranged from 20 to 40 µm. This is very close to the value of pad roughness measured in this study by stylus profilometry. This film is considered to distribute the pressure and eliminate the effect caused by different grooves. Therefore the wafer pressure is defined as the applied down force divided by the wafer area. For simplicity, slurry film thickness, which varies only slightly with pressure and velocity, may be assumed to be equivalent to the extent of pad roughness Ra. This approximation resulted in the calculated Sommerfeld number to shift to the right or to the left i.e., increase or decrease with respect to the actual value of Sommerfeld number, however it had no effect on the overall trends of the individual Stribeck curves. The relative standard deviation for surface roughness was less than 10% for all the values measured. Coefficient of friction (COF) and the Stribeck curve. COF is defined as the ratio of shear to normal force

2 G300 Journal of The Electrochemical Society, G299-G Table I. Summary of slurry attributes. Slurry no. Abrasive diameter nm Abrasive content wt % Surfactant I 13 1 Yes II 13 1 No III 13 2 Yes IV 13 2 No V 35 1 Yes VI 35 1 No VII 35 2 Yes VIII 35 2 No COF = F shear 2 F normal The plot of the COF vs. the Sommerfeld number is known as the Stribeck curve and gives direct evidence of the extent of waferslurry-pad contact. When plotting the COF as a function of the Sommerfeld number three major modes of contact can be envisaged. 9 The first mode of contact is boundary lubrication where all solid bodies are in intimate contact with one another and the COF does not depend on the Sommerfeld number. The second mode is partial lubrication where the wafer and the pad are partially contacting each other. As the Stribeck curve transitions from boundary lubrication to partial lubrication, the slope of the line measuring the COF becomes negative. Finally, the hydrodynamic lubrication mode of contact occurs at larger values of the Sommerfeld number where the fluid film layer totally separates the pad and the wafer, and the COF once again becomes independent of Sommerfeld number albeit at a much lower value. For a given Stribeck curve, the average COF represents the arithmetic average of all COF values taken at various Sommerfeld numbers. It is unclear whether the pad and wafer are in intimate contact, fully separated by a hydrodynamic layer, or some combination of the two. Research groups have supported hydrodynamic polishing, and varying degrees of asperity contact Figure 2. Spectrum associated with a constant tap on the upper plate of the friction table with the pad and wafer totally disengaged. Spectral analysis. For a given polishing run, the measured total unidirectional shear force as a function of time can be broken up into two components a mean force component and a fluctuating force component as shown in Eq. 3 below F shear t = F + f t 3 Figure 1a is an example of the total force measurement at a sampling frequency of 1000 s obtained during a 1 s interval of a typical polishing run. For a 75 s polishing experiment, a total of 75 such plots are generated and analyzed for tribological attributes. The mean force, F, which represents the average of all 75,000 data points, is used in calculating the COF as defined in Eq. 2 and utilized in a previous report. 17 The COF, which is then used to construct Stribeck curves, is therefore totally independent of the fluctuations observed in Fig. 1a. For spectral analysis, the measured total unidirectional shear force function which includes the fluctuating component is converted into frequency domain via fast Fourier transformation FFT. 18 Figure 1b is an example of this transformation where the x axis represents signal frequency in Hertz and the y axis is an indication of the amplitude of the transformed function. In Fig. 1b, the area underneath the curve is the basis of a new parameter termed the interfacial interaction index denoted by. In the frequency domain, it is important to understand the cause or causes behind the presence of a particular peak at a particular frequency. For instance, Fig. 1b shows the presence of several peaks ranging in frequencies from 1 to 120 Hz. To determine whether the frequencies at which the peaks were observed were results of the resonance of the polisher or the result of wafer-slurry-pad interaction, a simple experiment was performed. With the wafer completely disengaged from the pad, the top plate of the friction table was tapped once with a constant force thus causing the polisher to vibrate. By recording the total unidirectional force, and by converting it into frequency domain, the intrinsic resonance of the tool could be quantified see Fig. 2 and compared to that of Fig. 1b. The spectrum in Fig. 2 clearly indicates that, in the absence of any pad-wafer interaction, the tool resonates at a dominant frequency of around 9-10 Hz, with multiple harmonic peaks occurring at frequency ranges of Hz as well as Hz. There is also a fundamental peak at about 60 Hz. By comparing this spectrum to the one shown in Fig. 1b, it becomes clear that the fundamental peak at 9 Hz along with its harmonic peaks at 18 and 36 Hz are direct results of the intrinsic resonance of the tool. On the other hand, peaks occurring around 1-3, 50-55, and Hz all seem to stem from pad-slurrywafer interactions. The lower frequency peak is believed to be due to the kinematics of the process including the rotational velocities of the platen, wafer, and the diamond conditioner which have been calculated to result in frequencies in the range of 0.5 to 2.5 Hz. The observed medium to high frequency peaks are unique to CMP processes using k-grooved pads and seems to correlate to the distribution of collision events between grooves and the leading edge of the wafer which have been calculated to range between 20 and 500 Hz. From Fig. 1b, is determined empirically based on transformed Figure 1. Shear force measured duringa1spolishing interval a, left and its associated spectrum b, right.

3 Journal of The Electrochemical Society, G299-G G301 Figure 3. Stribeck curves for slurries with 13 nm abrasives left and 35 nm abrasives right. data that are in the frequency domain, and it is essentially a measure of the range of forces encountered during polish. On a qualitative basis, the area under the curve in Fig. 1b can be envisaged to represent the total amount of mechanical energy caused by stick-slip phenomena. It must be noted that random variations in friction force measurements do not constitute stick-slip. When studying the tribology of CMP, stick-slip refers to a cyclic fluctuation in the magnitudes of frictional force and relative velocity between the wafer and the pad. It is usually associated with a relaxation oscillation that depends on a decrease of the COF with increasing sliding velocity. True stick-slip, in which each cycle consists of a stage of actual stick followed by a stage of overshoot i.e., slip, requires that the kinetic COF i.e., the parameter being measured in this study is lower than the static COF i.e., corresponding to the maximum friction force that must be overcome to initiate macroscopic motion between the wafer and pad. In this study, the above criterion is certainly met. Another form of stick-slip can be due to spatial periodicity of the friction coefficient along the path of contact i.e., pad grooves or microtrenches created on the surface of the pad due to the conditioner, or complex films forming and being abraded on the surface of the wafer. While further studies are underway to distinguish among various types of stick-slip occurring during CMP, this study combines all stick-slip phenomena into one entity represented by. Results and Discussion Stribeck curves. Figure 3 shows that regardless of abrasive diameter, changes in abrasive content in percent by weight have no effect on the tribological mechanism of the process. In the case of 13 nm abrasives, the dominant tribological mechanism is that of partial lubrication with the surfactant-containing slurries showing a slight tendency to transition to boundary lubrication at low Sommerfeld numbers. In the case of 35 nm abrasives, the dominant tribological mechanism is that of boundary lubrication. The significant changes in the tribological attributes of the process as a result of abrasive diameter are believed to be due to gross differences in abrasive concentrations i.e., number of particles per unit volume of slurry associated with the two types of abrasives and their weight contents in the slurry. Assuming spherical abrasives and following the calculation methodology set forth in Ref. 19, slurries with 1 and 2 wt % of 13 nm abrasives contain 5510 and particles per cubic centimeter, respectively, while those with 1 and 2 wt % of 35 nm abrasives contain only 2050 and 4100 particles per cubic centimeter, respectively. For a given abrasive content, the significantly higher number of 13 nm abrasives aid in the sliding action of the copper wafer against the polishing pad. Tests are underway to verify the above postulation by performing experiments under controlled abrasive concentration conditions. If verified, this would indeed be consistent with recently reported results where varying concentrations of fumed silica slurry were used to intentionally alter the tribological attributes of the interlevel dielectric ILD CMP processes. 13 Figure 4. Average value and total range of COF as a function of surfactant content, abrasive diameter, and abrasive content at low left and high right values of p U. COF and the removal rate. Ongoing work 5 has shown that copper CMP is Prestonian 20 at low values of p U, and non- Prestonian at higher values of p U where thermal effects dominate over mechanical effects. Therefore, the frictional and kinetic attributes of the eight slurries adopted in this study are best described in terms of the COF and material removal rate at the lowest and highest values of p U. Figure 4 summarizes the main effects of surfactant, abrasive diameter, and abrasive concentration on the COF. Regardless of the value of p U or the values of other parameters, surfactant-containing formulations reduce the average COF. This is due to the enhanced lubricating nature of the fatty components of the surfactant. Regarding abrasive diameter, at low values of p U, the COF remains unaffected i.e., 0.47 to 0.49 by an increase in abrasive diameter, however at high values of p U, the COF decreases to below 0.40 with decreasing abrasive diameter. This is likely due to the individual or combined effects of two phenomena as described below. By taking into account the contact model proposed by Brown 21 A c C 1/3 1/3 0 D p 4 where A c is the contact area between the wafer and the abrasive particle, C 0 is the abrasive concentration, and D p is the abrasive particle diameter. According this model, smaller particles have a larger surface area than larger particles, and a higher abrasive concentration also will increase contact area. For a given abrasive content, the abrasive concentrations associated with smaller diameter particles is 2.7 times more than larger diameter abrasives. Therefore smaller particles always get a larger contact area. When the contact area is large, the wafer is more likely to make contact with abrasive particles floating between wafer and pad interface and will not interact with the abrasive particles adsorbed on the pad surface. Therefore, at high velocities, both abrasive larger concentration and small

4 G302 Journal of The Electrochemical Society, G299-G Figure 6. Dependence of removal rate on COF for all experiments performed in this study. Figure 5. Average value and total range of removal rate as a function of surfactant content, abrasive diameter, and abrasive content at low left and high right values of p U. diameter will aid the lubrication process, thus reducing shear force. In all cases, changing the abrasive content of the slurry has minimal impact on the COF thus suggesting that in the absence of other issues i.e., interactions between abrasive concentration and other factors of interest, lower abrasives content formulation maybe favored. Figure 5 summarizes the main effects of surfactant, abrasive diameter, and abrasive concentration on the copper removal rate. Given a reproducibility of about 250 A/min for the copper removal rate, results indicate that, at low values of p U, the removal rate is more or less independent of surfactant content, abrasive diameter, and abrasive content. On the other hand, at high values of p U, surfactant-containing slurries cause an increase in the removal rate, and slurries containing larger abrasive increase removal rate. In spite of the significantly lower values of the COF observed with the surfactant-containing slurries, the corresponding values of copper removal at high values of p U, are significantly higher. This indicates that rate enhancement due to the surfactant is most likely chemical, rather than mechanical, in nature thus providing a pathway for increasing pad life and removal rate simultaneously. Also, the trends observed regarding the effect of abrasive content on material removal indicates an environmental benefit associated with some of the slurries tested in this study. The observation that slurries containing larger diameter abrasives increase the removal rate is currently under investigation. It is interesting to note that for all combinations of pressure and velocity, when all eight slurry formulations are taken into account, no correlation is observed between the removal rate and the COF see Fig. 6. This is in stark contrast to ILD polishing where the COF and removal rate are strongly correlated, 3 thus suggesting that factors other than the COF need to be considered in designing slurries with optimal copper removal rate characteristics. Spectral analysis and the interfacial interaction index. Figure 7 shows the values of corresponding to slurries I, II, V, and VI. Slurries I and II have identical values of abrasive content and abrasive diameter, however slurry I contains a surfactant, while slurry II does not. Similar attributes as above also apply to slurries V and VI. In addition, slurries I and II have higher abrasive concentrations compared to slurries V and VI. Results indicate that surfactantcontaining slurries are have lower values of compared to their unsurfactonated counterparts. One interesting characteristic of Fig. 7 is that slurries I and II have lower values of compared to slurries V and VI, respectively. This may be due to the significant difference in abrasive particle concentrations between the two pairs since high abrasive concentrations can reduce stick-slip by enhancing the lubricity of the system and by lowering the mean and variance of the frictional force. Figure 8 summarizes the main effects of surfactant, abrasive diameter, and abrasive concentration on. Results indicate increases, on average, by nearly two orders of magnitude at high, compared to low, values of p U. This is intuitive since higher values of p U indicate a greater amount of energy in the pad-wafer interface due to higher shaft work and hence a greater extent of stick-slip phenomena. In fact, Figure 7. Spectral comparison of various slurry formulations at 2.0 psi and 1.09 m/s.

5 Journal of The Electrochemical Society, G299-G G303 Figure 10. Spectral comparison of ILD and copper polish processes. Figure 8. Average value and total range of as a function of surfactant content, abrasive diameter, and abrasive content at low left and high right values of p U. for all combinations of pressure and velocity, when all eight slurry formulations are taken into account, the removal rate and seem to loosely correlate to one another as seen in Fig. 9. The observation that for copper polish, unlike ILD polish, the removal rate does not correlate to COF but rather to, suggests that it is the variance in the measured shear force, rather than its magnitude that drives copper removal. Further comparison of the force spectra between ILD and copper polish help shed light on the differences between these two processes. Figure 10 compares typical force spectra corresponding to a copper polish process 60 cm 3 /min of slurry VI at 2 wt % colloidal silica abrasive content, at a pressure Figure 9. Dependence of removal rate on for all experiments performed in this study. of 2.0 psi and a sliding velocity of 1.09 m/s with an ILD polish process 80 cm 3 /min of Fujimi PL-4217 slurry with 12.5 wt % fumed silica abrasive content, at a pressure of 4.0 psi and a sliding velocity of 0.31 m/s. In both cases, Rodel s IC-1000 pad was employed. The particular choices of abrasive content, sliding velocity, slurry flow rate, and wafer pressure were made to ensure a nearly constant value of between the two processes 4.33 for the ILD CMP process and 4.63 for the copper CMP process. Analysis of the spectra indicates that in spite of roughly equivalent values of between the two systems, the energy caused by hydrodynamic chattering associated with the copper polish process is shifted to higher frequencies in the range of 37 to 43 Hz compared to that of the ILD polish process. As reported previously, 9 and as it may be the case here, the higher frequency data appear to be due to chemical phenomena unique to copper CMP. These phenomena are most likely the rapid formation and abrasion of the copper oxide passivation layer during polish and manifest themselves in a series of cyclical processes which tend to cause a high-frequency periodicity in the coefficient of friction and hence its variance during polishing. Assuming this hypothesis to be true, the data suggest that the periodicity of the passivation film formation and abrasion for the family of Fujimi slurries to range between 10 ms in the case of Fig. 1b where the highest frequency peak was centered around 100 Hz and 25 ms in the case of Fig. 10 where the highest frequency is around 40 Hz. Based on the analysis above, it is likely that the loose correlation between removal rate and as reported in Fig. 9 is indeed governed by the rapid formation and extinction of the copper oxide layer as captured by the variance of the frictional attributes of the process associated with this phenomenon. Conclusions This paper reports on the effects of slurry surfactant, abrasive size, abrasive content, wafer pressure, and sliding velocity on frictional and kinetics attributes of copper CMP. While abrasive content did not affect the tribological mechanism of the process, abrasive size was shown to be a significant factor. Surfactant-containing formulations were also shown to dramatically reduce the coefficient of friction. At low pressures and velocities, the removal rate was inde-

6 G304 Journal of The Electrochemical Society, G299-G pendent of surfactant content, abrasive diameter, and abrasive concentration while at high pressures and velocities surfactantcontaining slurries caused an increase in removal rate, while slurries containing larger abrasives increased the removal rate. No correlation was observed between the removal rate and the COF. Instead the removal rate was shown to loosely correlate with the variance of the frictional force, thus suggesting that the rapid formation and extinction of the copper oxide layer as captured by the variance of frictional forces i.e., stick-slip was the rate-determining step. Acknowledgments The authors express their gratitude to the NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing for their financial support. Special thanks are also due to Nikko Materials for their generous donation of the copper disk used in the experiments. The University of Arizona assisted in meeting the publication costs of this article. References 1. K. Cheemalapati, A. Chowdhury, V. Duvvuru, and Y. Li, in Proceedings of the CMP-MIC, Marina Del Rey, CA F. Sun, R. Zhou, M. Kason, and R. Martinez, in Proceedings of the CMP-MIC, Marina Del Rey, CA A. Philipossian and S. Olsen, Jpn. J. Appl. Phys., Part 1, 42, A. Philipossian and E. Mitchell, Jpn. J. Appl. Phys., Part 1, 42, Z. Li, L. Borucki, and A. Philipossian, J. Electrochem. Soc., 151, G Z. Li, S. Radar, P. Lefevre, K. Ina, and A. Philipossian, Abstract 899, The Electrochemical Society Meeting Abstracts, Vol , Orlando, FL, Oct 12-16, J. Coppeta, M.S. Thesis, Tufts University, Medford, MA J. Lu, C. Rogers, V. P. Manno, and A. Philipossian, J. Electrochem. Soc., 151, G K. Ludema, Friction, Wear, Lubrication: A Textbook in Tribology, CRC Press, Inc., Boca Raton, FL L. Cook, J. Non-Cryst. Solids, 120, S. Runnels and L. Eyman, J. Electrochem. Soc., 141, S. Soares, D. Baselt, J. Black, K. Jungling, and W. Stowell, Appl. Opt., 33, W. Tseng and Y. Wang, J. Electrochem. Soc., 142, L C Liu, B Dai, W Tseng, and C Yeh, J. Electrochem. Soc., 143, L. Cook, J. Wang, D. James, and A. Sethuraman, Semicond. Int., 11, R. Bhushan, R Rouse, and J. Lukens, J. Electrochem. Soc., 142, D. DeNardis, J. Sorooshian, M. Habiro, C. Rogers, and A. Philipossian, Jpn. J. Appl. Phys., Part 1, 42, E. Brigham and H. Oren, The Fast Fourier Transform and Its Applications, Prentice-Hall Inc., Inglewood Cliffs, NJ E. Paul and A. Philipossian, in Proceedings of the CMP-MIC Conference, Marina Del Rey, CA F. Preston, J. Soc. Glass Technol., 11, N. Brown, C. Baker, and R. Maney, SPIE Proc., 1981, 340.

Mean Residence Time and Removal Rate Studies in ILD CMP

Mean Residence Time and Removal Rate Studies in ILD CMP G402 Journal of The Electrochemical Society, 151 6 G402-G407 2004 0013-4651/2004/151 6 /G402/6/$7.00 The Electrochemical Society, Inc. Mean Residence Time and Removal Rate Studies in ILD CMP Ara Philipossian*,z

More information

Dispersion Number Studies in CMP of Interlayer Dielectric Films

Dispersion Number Studies in CMP of Interlayer Dielectric Films G854 0013-4651/2003/150 12 /G854/7/$7.00 The Electrochemical Society, Inc. Dispersion Number Studies in CMP of Interlayer Dielectric Films Ara Philipossian*,z and Erin Mitchell Department of Chemical and

More information

New Insights into the Tribological and Kinetic Attributes of Retaining Rings in CMP

New Insights into the Tribological and Kinetic Attributes of Retaining Rings in CMP New Insights into the Tribological and Kinetic Attributes of Retaining Rings in CMP G. Diaz 1,a, L. Peckler 1,b, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson, AZ, USA 2 Araca

More information

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization Jesse Cornely 1, Chris Rogers 1, Vincent P. Manno 1 and Ara Philipossian 2 1 Tufts University, Department of Mechanical Engineering

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS In many works on the material removal in the Chemical Mechanical Process (CMP), the effects of several process parameters have

More information

Keywords: Chemical Mechanical Planarization (CMP), DELIF, contact, shear forces, COF, MRR

Keywords: Chemical Mechanical Planarization (CMP), DELIF, contact, shear forces, COF, MRR In Situ Characterization of the Mechanical Aspects of CMP Robert White 1,a, James Vlahakis 1, Caprice Gray 1, Vincent Manno 1, Nicole Braun 1, Douglas Gauthier 1, Andrew Mueller 1, Chris Rogers 1 and Mansour

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

Effect of Normal Load and Sliding Velocity on Friction Coefficient of Aluminum Sliding Against Different Pin Materials

Effect of Normal Load and Sliding Velocity on Friction Coefficient of Aluminum Sliding Against Different Pin Materials American Journal of Materials Science 2012, 2(1): 26-31 DOI: 10.5923/j.materials.20120201.05 Effect of Normal Load and Sliding Velocity on Friction Coefficient of Aluminum Sliding Against Different Pin

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests

Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests Volume 6 Paper C097 Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests M. Seo, Y. Kurata and M. Chiba Graduate School of Engineering, Hokkaido

More information

EFFECT OF WETTING ON FRICTION M. Kalin*, M. Polajnar *Corresponding author:

EFFECT OF WETTING ON FRICTION M. Kalin*, M. Polajnar *Corresponding author: EFFECT OF WETTING ON FRICTION M. Kalin*, M. Polajnar *Corresponding author: mitjan.kalin@tint.fs.uni-lj.si Laboratory for Tribology and Interface Nanotechnology, University of Ljubljana, Ljubljana, Slovenia

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

Effect of Retaining Ring Slot Design & Polishing Conditions on Bow Wave Fluid Dynamics

Effect of Retaining Ring Slot Design & Polishing Conditions on Bow Wave Fluid Dynamics Effect of Retaining Ring Slot Design & Polishing Conditions on Bow Wave Fluid Dynamics Xiaoyan Liao 1, Yasa Sampurno 1,2, Adam Rice 1, Fransisca Sudargho 2, Yun Zhuang 1,2, Ara Philipossian 1,2 and Christopher

More information

Evaluation of Mechanical Properties of Hard Coatings

Evaluation of Mechanical Properties of Hard Coatings Evaluation of Mechanical Properties of Hard Coatings Comprehensive mechanical testing of two coated metal samples was performed on the UNMT- 1. The tests clearly distinguished brittle and ductile samples,

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

In Situ Investigation of Slurry Flow Fields during CMP

In Situ Investigation of Slurry Flow Fields during CMP H908 0013-4651/2009/156 12 /H908/5/$25.00 The Electrochemical Society In Situ Investigation of Slurry Flow Fields during CMP N. Mueller, a C. Rogers, a V. P. Manno, a R. White, a,z and M. Moinpour b a

More information

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP A Thesis Presented to The Academic Faculty By Andrés Osorno In Partial Fulfillment Of the Requirements for the Degree Master of Science in Mechanical Engineering

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Lube-Tech- Prescreening hydraulic fluids for vane pumps: a ranking method. No.114 page 1

Lube-Tech- Prescreening hydraulic fluids for vane pumps: a ranking method. No.114 page 1 No.114 page 1 Prescreening hydraulic fluids for vane pumps: a ranking method Emmanuel Georgiou*, Dirk Drees*, Michel De Bilde*, Michael Anderson** * Falex Tribology N.V., Wingepark 23B, B3110, Rotselaar,

More information

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries Michael L. White, Richard. Romine, Lamon Jones and William Ackerman Cabot Microelectronics

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

ISO 6281 INTERNATIONAL STANDARD. Plain bearings Testing under conditions of hydrodynamic and mixed lubrication in test rigs

ISO 6281 INTERNATIONAL STANDARD. Plain bearings Testing under conditions of hydrodynamic and mixed lubrication in test rigs Provläsningsexemplar / Preview INTERNATIONAL STANDARD ISO 6281 First edition 2007-06-15 Plain bearings Testing under conditions of hydrodynamic and mixed lubrication in test rigs Paliers lisses Essai des

More information

Polypropylene A grease technology for energy efficient lubrication

Polypropylene A grease technology for energy efficient lubrication Polypropylene A grease technology for energy efficient lubrication René Westbroek and Johan Leckner Axel Christiernsson International AB, Nol, Sweden Bearing grease lubrication Adapted from: P. Lugt, Grease

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

STUDY OF FRICTION COEFFICIENT BETWEEN PARTS OF ALUMINUM AND IRON CONTAINING ALLOYS

STUDY OF FRICTION COEFFICIENT BETWEEN PARTS OF ALUMINUM AND IRON CONTAINING ALLOYS 337 STUDY OF FRICTION COEFFICIENT BETWEEN PARTS OF ALUMINUM AND IRON CONTAINING ALLOYS Georgi KADIKYANOV Vasil STOYANOV Daniel BEKANA Emil MARINOV University of Ruse, Bulgaria Summary: The high coefficient

More information

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

Preparation and Polishing Properties of Spherical Porous Silica Abrasive American Journal of Nanotechnology 1 (1): 32-39, 2010 ISSN 1949-0216 2010 Science Publications Preparation and Polishing Properties of Spherical Porous Silica Abrasive Hong Lei, Hu Li, Ping Liu and Ruling

More information

TRIBOLOGICAL BEHAVIOUR OF PEEK COMPOSITES IN VACUUM ENVIRONMENT

TRIBOLOGICAL BEHAVIOUR OF PEEK COMPOSITES IN VACUUM ENVIRONMENT TRIBOLOGICAL BEHAVIOUR OF PEEK COMPOSITES IN VACUUM ENVIRONMENT Géraldine Theiler (1), Thomas Gradt (1) (1) Federal Institute for Materials Research and Testing, (BAM), 122 Berlin, Germany, Geraldine.Theiler@bam.de,

More information

Experimental Investigation of Friction Coefficient and Wear Rate of Stainless Steel 304 Sliding against Smooth and Rough Mild Steel Counterfaces

Experimental Investigation of Friction Coefficient and Wear Rate of Stainless Steel 304 Sliding against Smooth and Rough Mild Steel Counterfaces Gazi University Journal of Science GU J Sci 26(4):597-609 (2013) Experimental Investigation of Friction Coefficient and Wear Rate of Stainless Steel 304 Sliding against Smooth and Rough Mild Steel Counterfaces

More information

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* 1 Fujimi Corporation, Oregon, USA 97062 2 Fujimi Incorporated, Gifu, Japan 509-0108 *Contacts: hli@fujimico.com,

More information

INFLUENCE OF HEAT TREATMENT ON TRIBOLOGICAL PROPERTIES OF Ni-P-Al 2 O 3 ELECTROLESS COATINGS

INFLUENCE OF HEAT TREATMENT ON TRIBOLOGICAL PROPERTIES OF Ni-P-Al 2 O 3 ELECTROLESS COATINGS INFLUENCE OF HEAT TREATMENT ON TRIBOLOGICAL PROPERTIES OF Ni-P-Al 2 O 3 ELECTROLESS COATINGS Michal NOVÁK a, Dalibor VOJTĚCH a, Tomáš VÍTŮ b a Department of Metals and Corrosion Engineering, ICT Prague,

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Tribology in Industry. Experimental Investigation on Friction and Wear Properties of Different Steel Materials

Tribology in Industry. Experimental Investigation on Friction and Wear Properties of Different Steel Materials Vol. 5, No. (0) 4 50 Tribology in Industry www.tribology.fink.rs RESEARCH Experimental Investigation on Friction and Wear Properties of Different Steel Materials M.A. Chowdhury a, D.M. Nuruzzaman b a Department

More information

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper H36 0013-4651/2006/154 1 /H36/5/$20.00 The Electrochemical Society The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper Yi-Koan Hong, Ja-Hyung

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Maskless Nanowriter HR001-06-03-0008 Post- CMP Defectivity is one of the major factors affecting its

More information

Effects of Slurry Particles on Silicon Dioxide CMP

Effects of Slurry Particles on Silicon Dioxide CMP G512 0013-4651/2004/151 8 /G512/11/$7.00 The Electrochemical Society, Inc. Effects of Slurry Particles on Silicon Dioxide CMP Wonseop Choi, Jeremiah Abiade, Seung-Mahn Lee,* and Rajiv K. Singh**,z Department

More information

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing Journal of The Electrochemical Society, 153 6 B193-B198 2006 0013-4651/2006/153 6 /B193/6/$20.00 The Electrochemical Society Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical

More information

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method

Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method Fundamental Characterization of Chemical Mechanical Planarization Relating to Slurry Dispensing and Conditioning Method Item Type text; Electronic Dissertation Authors Han, Ruochen Publisher The University

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014 3M TM Trizact TM Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications March 19, 214 J. Zabasajja, V. Laraia, M. Fritz, J. Sokol and Junqing Xie 3M Electronics Markets Material Division,

More information

Coefficient of friction and wear rate effects of different composite nanolubricant concentrations on Aluminium 2024 plate

Coefficient of friction and wear rate effects of different composite nanolubricant concentrations on Aluminium 2024 plate Coefficient of friction and wear rate effects of different composite nanolubricant concentrations on Aluminium 2024 plate N N M Zawawi 1*, W H Azmi 1, A A M Redhwan 1,2 and M Z Sharif 1 1 Faculty of Mechanical

More information

Passivation of Copper During Chemical Mechanical Planarization

Passivation of Copper During Chemical Mechanical Planarization 1 Passivation of Copper During Chemical Mechanical Planarization SFR Workshop & Review November 14, 22 Amnuaysak, Chianpairot and Fiona M. Doyle Berkeley, CA 23 GOAL: to characterize the composition of

More information

The Influence of Abrasive Size on High-Pressure Chemical Mechanical Polishing of Sapphire Wafer

The Influence of Abrasive Size on High-Pressure Chemical Mechanical Polishing of Sapphire Wafer INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 2, pp. 157-162 APRIL 2015 / 157 DOI: 10.1007/s40684-015-0020-0 ISSN 2288-6206 (Print) / ISSN 2198-0810 (Online)

More information

AN INTRODUCTION TO LUBRICANTS

AN INTRODUCTION TO LUBRICANTS AN INTRODUCTION TO LUBRICANTS Basic Lubrication Principles This article serves to be a practical guide to lubricants and lubrication. The proper selection and application of lubricants will hopefully be

More information

Friction, Wear, and Lubrication

Friction, Wear, and Lubrication Friction, Wear, and Lubrication Product Tribometers name Unique Portfolio Biggest Influencing Factors Anton Paar develops, produces, distributes, and provides support for analytical instruments used in

More information

Friction. Friction is the resistance to motion during sliding or rolling, that is experienced when

Friction. Friction is the resistance to motion during sliding or rolling, that is experienced when Introduction Friction Friction is the resistance to motion during sliding or rolling, that is experienced when one solid body moves tangentially over another. The resistive force acts in a direction directly

More information

Particle Characterization of Abrasives

Particle Characterization of Abrasives Particle Characterization of Abrasives Mark Bumiller mark.bumiller@horiba.com Factors Affecting Abrasion Mechanics Difference in hardness between the two substances: a much harder abrasive will cut faster

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

The Effect of Film Thickness on Coated Glass Response under Spherical Impact

The Effect of Film Thickness on Coated Glass Response under Spherical Impact 11 The Effect of Film Thickness on Coated Glass Response under Spherical Impact Dae Sik Jung 1 Kook Chan Ahn 2* and Bong Hwan Kim 3 1 Graduate School Department of Automotive Engineering Gyeongnam National

More information

Mechanical and Tribological Properties of Epoxy Nanocomposites

Mechanical and Tribological Properties of Epoxy Nanocomposites Chapter 7 Mechanical and Tribological Properties of Epoxy Nanocomposites 7.1 Introduction This chapter discusses the mechanical and tribological properties of silicon dioxide (SiO 2 ) and alumina (Al 2

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books Genesis of Friction between Macroscale contacts Reference: Chapter 3 of the text books What is friction? F = W s µ varies as a function of the sliding distance. 1 0.6 0.2 0 0 20 40 60 80 Di stan ce slid

More information

Water Droplet Impingement Erosion (WDIE) Water Droplet Impingement Erosion (WDIE) Solid Particle Erosion. Outline

Water Droplet Impingement Erosion (WDIE) Water Droplet Impingement Erosion (WDIE) Solid Particle Erosion. Outline Water Droplet Impingement Erosion (WDIE) Incoming air temperature Outline Mass flow rate Introduction Example Output power Energy Demand Temperature Turbine efficiency 1 F 0.3-0.5% Turbine inlet cooling

More information

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish 1984 Journal of The Electrochemical Society, 146 (5) 1984-1990 (1999) Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish Chi-Fa Lin, a,

More information

The Effect of Sliding Speed and Normal Load on Friction and Wear Property of Aluminum

The Effect of Sliding Speed and Normal Load on Friction and Wear Property of Aluminum International Journal of Mechanical & Mechatronics Engineering IJMME-IJENS Vol: 11 No: 01 45 The Effect of Sliding Speed and Normal Load on Friction and Wear Property of Aluminum M. A. Chowdhury, M. K.

More information

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

More information

MODULE 3 - BEARINGS LECTURE 1- SLIDING CONTACT BEARINGS INTRODUCTION

MODULE 3 - BEARINGS LECTURE 1- SLIDING CONTACT BEARINGS INTRODUCTION MODULE 3 - BEARINGS CONTENTS LECTURE 1- SLIDING CONTACT BEARINGS INTRODUCTION 1. Sliding contact bearings - introduction. 2. Sliding contact bearings - advantages and disadvantages. 3. Classification of

More information

CSM Nano-Tribometer *

CSM Nano-Tribometer * CSM Nano-Tribometer * Features of the Nano-Tribometer CALL US for a DEMO! Laboratory Service Also Available High Resolution attained with unique glass spring force sensor design Ultra-precision piezo actuated

More information

factured pillars, even though the strength is significantly higher than in the bulk. These yield stress values, y

factured pillars, even though the strength is significantly higher than in the bulk. These yield stress values, y Abstract The size effect in body-centered cubic (bcc) metals was comprehensively investigated through microcompression tests performed on focused ion beam machined tungsten (W), molybdenum (Mo) and niobium

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

COMPARATIVE STUDY OF FRETTING BEHAVIOURS OF HVOF-SPRAYED COATINGS AT ROOM AND HIGH TEMPERATURE

COMPARATIVE STUDY OF FRETTING BEHAVIOURS OF HVOF-SPRAYED COATINGS AT ROOM AND HIGH TEMPERATURE COMPARATIVE STUDY OF FRETTING BEHAVIOURS OF HVOF-SPRAYED COATINGS AT ROOM AND HIGH TEMPERATURE SMAZALOVÁ Eva 1, HOUDKOVÁ Šárka 2 1 University of West Bohemia, Univerzitní 8, 306 14, Plzeň, smazal@ntc.zcu.cz

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

FORMING OF FULLERENE-DISPERSED ALUMINUM COMPOSITE BY THE COMPRESSION SHEARING METHOD

FORMING OF FULLERENE-DISPERSED ALUMINUM COMPOSITE BY THE COMPRESSION SHEARING METHOD FORMING OF FULLERENE-DISPERSED ALUMINUM COMPOSITE BY THE COMPRESSION SHEARING METHOD Noboru NAKAYAMA Akita Prefectural University, 84-4 Tsuchiya-Ebinokuti, Yurihonjyo, Akita/ 15-55, JAPAN nakayama@akita-pu.ac.jp

More information

TRIBOCORROSION EVALUATION OF PROTECTIVE COATING

TRIBOCORROSION EVALUATION OF PROTECTIVE COATING TRIBOCORROSION EVALUATION OF PROTECTIVE COATING Prepared by Duanjie Li, PhD 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2014

More information

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers Author: Mark Kennedy www.logitech.uk.com Overview The lapping and polishing of wafers made

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Polymer Composites Filled with RB Ceramics Particles as Low Friction and High Wear Resistant Filler

Polymer Composites Filled with RB Ceramics Particles as Low Friction and High Wear Resistant Filler Tribology Online, 5, 1 (21) 19-26. ISSN 1881-2198 DOI 1.2474/trol.5.19 Article Polymer Composites Filled with RB Ceramics Particles as Low Friction and High Wear Resistant Filler Motoharu Akiyama 1), Takeshi

More information

Mechanical Behavior and Characterization of Stern-shaft Mechanical Sealing Device. Yongjin Lu a *, Rui Lin

Mechanical Behavior and Characterization of Stern-shaft Mechanical Sealing Device. Yongjin Lu a *, Rui Lin 3rd International Conference on Material, Mechanical and Manufacturing Engineering (IC3ME 2015) Mechanical Behavior and Characterization of Stern-shaft Mechanical Sealing Device Yongjin Lu a *, Rui Lin

More information

Electrical Contact Resistance (ECR)

Electrical Contact Resistance (ECR) Electrical Contact Resistance (ECR) The ECR (Electrical Contact Resistance) is an add-on to the standard MTM2 system. The electrical resistance is measured between the disc and the upper specimen (ball,

More information

CHAPTER 8 WEAR ANALYSIS

CHAPTER 8 WEAR ANALYSIS 111 CHAPTER 8 WEAR ANALYSIS 8.1 INTRODUCTION In this chapter, the wear behaviour of Al sliding brake shoe lining material has been observed and compared with the conventional grey cast iron. The wear tests

More information

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 1, pp. 59-63 JANUARY 2015 / 59 10.1007/s40684-015-0008-9 Effect of Surfactant on Package Substrate in Chemical

More information

SLIDING WEAR AND FRICTION BEHAVIOR OF FUEL ROD MATERIAL IN WATER AND DRY STATE

SLIDING WEAR AND FRICTION BEHAVIOR OF FUEL ROD MATERIAL IN WATER AND DRY STATE Advanced Materials Development and Performance (AMDP11) International Journal of Modern Physics: Conference Series Vol. (1) 79- World Scientific Publishing Company DOI:.11/S195139 SLIDING WEAR AND FRICTION

More information

Observation of Settling Behavior of Particles in Slurry under Centrifugal Force

Observation of Settling Behavior of Particles in Slurry under Centrifugal Force J. Jpn. Soc. Microgravity Appl. Vol. 28 No. 2 11 (S84 S88) 8th Japan-China-Korea Workshop on Microgravity Sciences for Asian Microgravity Pre-Symposium Observation of Settling Behavior of Particles in

More information

STUDY ON THE DURABILITY OF THERMALLY SPRAYED WC CERMET COATING IN PARTIAL EHL CONTACTS

STUDY ON THE DURABILITY OF THERMALLY SPRAYED WC CERMET COATING IN PARTIAL EHL CONTACTS Proceedings of the International Conference on Mechanical Engineering 2005 (ICME2005) 28-30 ecember 2005, haka, Bangladesh ICME05- STUY ON THE URABILITY O THERMALLY SPRAYE WC CERMET COATING IN PARTIAL

More information

Development of High Quality Plastic Fuel Shells for Laser Fusion Energy

Development of High Quality Plastic Fuel Shells for Laser Fusion Energy Development of High Quality Plastic Fuel Shells for Laser Fusion Energy T. Norimatsu, K. Nagai, and T. Yamanaka Institute of Laser Engineering, Osaka University, Osaka, Japan e-mail norimats@ile.osaka-u.ac.jp

More information

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells The MIT Faculty has made this article openly available. Please share how this access benefits

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance

Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance Cross-Section of Metal Surface FIGURE 4.1 Schematic illustration of the cross-section of the surface

More information

Lubricating grease shear flow and boundary layers in a concentric. cylinder configuration

Lubricating grease shear flow and boundary layers in a concentric. cylinder configuration 45 3rd International Tribology Symposium of IFToMM, March in 2013, Luleå Lubricating grease shear flow and boundary layers in a concentric cylinder configuration J. X. LI 1, LARS G. WESTERBERG 2, E. HÖGLUND

More information

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow July 11, 2018 Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology Michael Mills, PhD Fellow. Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology 1)

More information

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Sidney Huey, Steven T. Mear, Yuchun Wang, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054

More information

Supporting Information

Supporting Information Supporting Information Understanding the Role of Nitrogen in Plasma-Assisted Surface Modification of Magnetic Recording Media with and without Ultrathin Carbon Overcoats Neeraj Dwivedi 1, Reuben J. Yeo

More information

Laboratory Testing of Safety Relief Valves

Laboratory Testing of Safety Relief Valves Laboratory Testing of Safety Relief Valves Thomas Kegel (tkegel@ceesi.com) and William Johansen (bjohansen@ceesi.com) Colorado Engineering Experiment Station, Inc. (CEESI) 5443 WCR 37, Nunn, Colorado 8648

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

e t Investigate Wear Resistance of TiAl 2 O 3 Coating by Detonation Gun Process On AISI 8620

e t Investigate Wear Resistance of TiAl 2 O 3 Coating by Detonation Gun Process On AISI 8620 e t International Journal on Emerging Technologies 4(1): 123-131(2013) ISSN No. (Print): 0975-8364 ISSN No. (Online): 2249-3255 Investigate Wear Resistance of TiAl 2 O 3 Coating by Detonation Gun Process

More information

GREASE FILM THICKNESS AND FRICTION IN EHL CONTACTS

GREASE FILM THICKNESS AND FRICTION IN EHL CONTACTS GREASE FILM THICKNESS AND FRICTION IN EHL CONTACTS P. M. CANN Tribology Section, Department of Mechanical Engineering, Imperial College of Science, Technology and Medicine, London SW7 2BX, UK, e-mail:

More information

EFFECT OF ABRASIVE PARTICLE SIZE ON WEAR RESISTANCE IN NON-HEAT-TREATED STEELS

EFFECT OF ABRASIVE PARTICLE SIZE ON WEAR RESISTANCE IN NON-HEAT-TREATED STEELS 158 Kovove Mater. 43 2005 158 168 EFFECT OF ABRASIVE PARTICLE SIZE ON WEAR RESISTANCE IN NON-HEAT-TREATED STEELS IBRAHIM SEVIM 1 *, I. BARLAS ERYUREK 2 1 Mersin University, Engineering Faculty, Dept. of

More information

A generic modelling approach for studying the contact mechanism and dynamic behavior of bimodal standing wave piezomotors

A generic modelling approach for studying the contact mechanism and dynamic behavior of bimodal standing wave piezomotors A generic modelling approach for studying the contact mechanism and dynamic behavior of bimodal standing wave piezomotors M. Houben, W. Van de Vijver, F. Al-Bender, D. Reynaerts Katholieke Universiteit

More information

Tribology Module4: Lubricants & Lubrication

Tribology Module4: Lubricants & Lubrication Tribology Module4: Lubricants & Lubrication Q.1. What is fluid film lubrication? What is the difference between hydrostatic and hydrodynamic lubrication? Ans: Fluid film lubrication is a generic term used

More information

Basic Characteristics and Durability of Low-Friction Sliding Bearings for Base Isolation

Basic Characteristics and Durability of Low-Friction Sliding Bearings for Base Isolation Earthquake Engineering Higashino, and Engineering Hamaguchi, Seismology Minewaki, Aizawa: Basic Characteristics and Durability of 95 Volume 4, Number 1, September 2003, pp. 95 105 Basic Characteristics

More information