The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Size: px
Start display at page:

Download "The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010"

Transcription

1 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

2 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background CMP for SOI Summary 2

3 DWB Background DWB requires surfaces that are Flat or negative topography (no bumps or mesas) Very smooth Extremely clean CMP is a logical choice for surface preparation Good starting points in CMOS (ILD, STI, Cu, etc.) Many materials can be polished as long as the slurry/pad/process are properly optimized 3

4 Direct Wafer Bonding CMP / Clean Activation RT Bond Anneal Direct Wafer Bonding (DWB) Surface prep is key CMP adapted to the materials being bonded Cleaning steps are critical to ultimate DWB success Anneal is usually necessary to strengthen bonds 4

5 Guideposts for DWB Surface Roughness (Ra) < 0.5 nm nm > 2.0 nm Good Usually ok.. Poor Flatness or topography No bumps sticking up from surface Indents or cavities ok (preferably sharp corners) Surface cleanliness Must be very clean and particle free NO hydrocarbons allowed on surface Materials Strongest bond is generally same-same material Most common are oxide-oxide and Si-Si 5

6 Types of Si DWB Source: Kim and Najafi, University of Michigan,

7 DWB Example #1 Included 3 types of substrates Silicon wafers with grown thermal oxide layers Silicon wafers with deposited oxide films Borofloat 33 glass (clear) Initial work performed on bare substrates and blanket film wafers to understand materials independent of pattern effects 7

8 Thermal Oxide Removed (Ang) CMP Thermal Oxide Removed (low pressure) Removed (med pressure) Test Inputs Thermal oxide films IPEC 472 polisher Klebosol silica slurry IC1000 on Suba IV pad stack Diamond pad conditioner OnTrak DSS with PVA brushes NH4OH(2%) cleaning chemistry Polish Time (seconds) Outcome Two pressures screened Linear function of polish time Surface roughness excellent at all settings (Ra <1 nm) 8

9 Borofloat 33 Removed (Ang) CMP Borofloat 33 Glass Test Inputs Removed (low pressure) Removed (med pressure) Substrates of Borofloat 33 IPEC 472 polisher Klebosol silica slurry IC1000 on Suba IV pad stack Diamond pad conditioner OnTrak DSS with PVA brushes NH4OH(2%) cleaning chemistry 2000 Outcome Same two pressures screened Removal rate ~50% faster than same process on thermal oxide Polish Time (seconds) Multiple wafers per data point shows excellent repeatability 9

10 Removal Rate (Ang/min) CMP on Cavity Wafers Test Inputs Cavities patterned in Si wafers then coated with oxide IPEC 472 polisher Klebosol silica slurry IC1000 on Suba IV pad stack Diamond pad conditioner OnTrak DSS with PVA brushes NH4OH(2%) cleaning chemistry CMP Index (Pressure * Table Speed) Outcome Range of processes studied for impact on cavity edges Relatively linear response across range of CMP index (confirms Prestonian behavior) High pressure settings showed more edge rounding 10

11 Sealed Cavity Excellent bond no evidence of separation along interface Cavities were fabricated using optimized CMP processes on both surfaces 11

12 DWB Example #2 Devices fabricated on primary wafer (200 mm) then encased in thick TEOS layer CMP used to planarize TEOS layer Prime Si wafer used as bonding pair Extreme thinning performed after DWB anneal 12

13 DWB Example #2 Image taken by Surface Acoustic Microscope White spots = small voids Scribe lines barely visible due to density variation in bottom wafer Initial bond made at room temp Bond is stronger after annealing > Kept below 400 deg C to avoid damage to underlying metals 13

14 3D Packaging Apps Source: Yole Development

15 SOI Background SOI = Silicon On Insulator Benefits of SOI vs bulk Si wafers Better Performance (esp. below 90nm device nodes) Reduced junction capacitance Faster speeds Higher signal to noise ratio for RF &analog Lower Power Reduced Vdd Better Yield & Reliability Enhanced isolation between transistors Reduced sensitivity to EM interference Much less sensitive to ionizing radiation (aka rad hardened ) 15

16 SIMOX SOI flow Separation by Implantation of Oxygen Single wafer sequence Three key steps Oxygen implantation High temperature anneal Optional Kiss polish Thickness and depth of buried oxide (BOX) layer are linked Top layer residual damage more of a problem than with Smart-Cut process Source: 16

17 Smart-Cut SOI flow Developed at CEA-Leti Six key steps Thermal oxidation Hydrogen implantation Direct wafer bonding Splitting Annealing Touch or Kiss polishing Very flexible for thickness of buried oxide & top Si layers H+ damage easier to anneal Source: SOI Technology by Vishwas Jaju,

18 SOI Surface Finish Top Si surface finish requirement can vary Fabrication technique Technology for intended device (power, 45nm, etc.) Thickness of active Si layer and BOX layer are both factors in the spread of the implant depth of the ions Generally not possible to achieve best SOI results with standard Si prime wafer final polishing processes Removal rate is too high for accurate thickness control Very low removal amounts do not achieve lowest Ra Optimized CMP polish is preferred 18

19 Polishing Comparison Comparison Substrate Polishing CMP Focus of the Process Improve TTV, flatness, Ra Planarize, reduce defects Mounting Style Rigid Compressible or flexible Impact on TTV Reduction Almost none Typical Amount Removed µm to 10's of µm 0.1 µm to a few µm Removal Rate >1 µm/min (stock) wide range Uniformity outgoing TTV is primary <10% of thin film removed Surface Finish (Ra) nm Ra wide range, typical <1 nm Defect Level Required Low and being reduced Low and being reduced 19

20 Prime Si Polisher Standard Single-Sided Silicon Wafer Polisher Uneven pressure across wafer face Differential material removal from thick areas Designed to improve TTV Rotating carrier shaft Rigid backing plate No gimbal Slurry Wafer Polishing Pad Rigid platen 20

21 CMP Polisher Standard CMP Polisher Uniform pressure across wafer face Designed for uniform film removal Very little impact on TTV Rotating carrier shaft Baseplate Compressible film or membrane Gimbal mount Slurry Wafer Polishing Pad Rigid platen 21

22 Typical Consumables Typical Consumable Substrate Polishing CMP Primary Pad Double-impregnated felt Structured polyurethane Pad Conditioning Stock Slurry Slurry usage details Diamond or other styles Used after multiple runs High ph, silica abrasive Low solids (<2%) High dilution (1:20 or 1:40) Recirculation possible Diamond conditioning disk Continuous or every wafer ph and abrasive vary by mtrl High solids (>10%) Much smaller dilution (if any) Single pass usage preferred Finishing Pad Coated porous felt Wide variation by material Final Slurry Mildly alkaline, silica abrasive Low solids (<2%) Often not required Post-Polish Cleaner Megasonic cleaning line Double-sided scrubber Cleaning Chemistry Typical SC1/SC2 with some proprietary refinements Custom by material 22

23 SOI Examples Parameter Typical Range Example #1 Example #2 Incoming Ra Ang 26 Ang 41 Ang Si Removal 500 Ang 2.0 µm 550 Ang 0.85 µm Final Ra Ang 3.29 Ang 1.93 Ang Ultrathin layer. Target was extremely low removal. More typical values. 23

24 SOI Example Before CMP Ra = 3.88 nm (38.8 Ang) After CMP (removed <600A) Ra = 0.33 nm (3.29 Ang) 24

25 SOI CMP Optimized Before CMP Ra = 3.71 nm (37.1 Ang) After CMP Si removed = 704 Ang Ra = 0.12 nm (1.20 Ang) 25

26 Ra (Ang) SOI CMP Repeatability Optimized CMP process for SOI top Si layer after splitting and annealing 3.0 SOI Post Polish Roughness by AFM Avg Ra = 1.48 Ang Avg Si removal = 871 Ang 1.5 Avg defects < 100 / wafer 1.0 Device ready surface finish comparable to prime Si Wafer Slot 26

27 Summary Direct wafer bonding Bond strength is improved when both surfaces are polished and properly cleaned. Roughness is key with Ra <1nm preferred Surfaces with etched cavities can be bonded for niche apps SOI CMP Final surface must be similar to prime Si for Ra and defects Substrate polishing methods are generally too aggressive Key differences in pads, slurries, and equipment design Optimized CMP can achieve results with <800Ang removal 27

28 Acknowledgements Many thanks to the following: Terry Pfau, Paul Lenkersdorfer, Donna Grannis of Entrepix Customers who gave permission to use images and data For additional information, please contact: Robert L. Rhoades Entrepix, Inc. Chief Technology Officer

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Slurry Design Evolution Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Outline Introduction to Slurry Design Birth of a Sub-Industry (Early Years) Slurry Evolution and Revolution

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 New CMP Applications And Opportunities for Improvement Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 Outline Background TSV s Diamond CMP Opportunities for Improvement Summary

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY,

St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY, PRESENTED BY S.SRIKANTH REDDY Y.MARUTHI III B.tech III.B.tech Sri.prince087@gmail.com St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY, YERRAKOTA, YEMIGANUR, KURNOOL (Dist), ANDHRA PRADESH. ABSTRACT VLSI

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age New S U B S TIdeas R A T E Sfor New Materials SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age Christophe Maleville and George Celler, Soitec Silicon-on-insulator (SOI) wafers are

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services  MEMS, IC, III-Vs. AML AML- Technical Benefits 4 Sept 2012 www.aml.co.uk AML In-situ Aligner Wafer Bonders Wafer bonding capabilities:- Anodic Bonding Si-Glass Direct Bonding e.g. Si-Si Glass Frit Bonding Eutectic Bonding

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Pyramid Probe Card: P800-S Online Cleaning

Pyramid Probe Card: P800-S Online Cleaning Pyramid Probe Card: P800-S Online Cleaning Quick Reference Guide Objective Particulate contamination can build up on the probe face and tips during probing. In some cases, particulate contamination may

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

Wafer-to-Wafer Bonding and Packaging

Wafer-to-Wafer Bonding and Packaging Wafer-to-Wafer Bonding and Packaging Dr. Thara Srinivasan Lecture 25 Picture credit: Radant MEMS Reading Lecture Outline Senturia, S., Chapter 17, Packaging. Schmidt, M. A. Wafer-to-Wafer Bonding for Microstructure

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Balancing Technical and Business Challenges in CMP R&D Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Outline Background and Business Climate for CMP STORM Development CMP

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan SCHOTT MEMpax New options for the MEMS industry NMN Technology Day Schott AG Grünenplan 06.11.2012 Agenda 2 Agenda 1. SCHOTT thin glass for Electronics & Biotech 2. MEMS Industry and Motivation for MEMpax

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Functional Ceramics for Electronics and Energy Technology

Functional Ceramics for Electronics and Energy Technology Functional Ceramics for Electronics and Energy Technology Prof. Dr. Aleander Michaelis IKTS in Dresden employees ca. 160 usable space: ca. 9200 m 2 clean rooms ca. 350 m 2 FhG Group: Materials and Components

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

Kevin O. Loutfy and Dr. Hideki Hirotsuru

Kevin O. Loutfy and Dr. Hideki Hirotsuru Advanced Diamond based Metal Matrix Composites for Thermal Management of RF Devices By Kevin O. Loutfy and Dr. Hideki Hirotsuru Agenda - Thermal Management Packaging Flange Materials - GaN High Power Densities

More information

Professional Floor Restoration & Rejuvenation MADE EASY

Professional Floor Restoration & Rejuvenation MADE EASY By Malish Professional Floor Restoration & Rejuvenation MADE EASY Your Global Source For Engineered Brushes Since 1948 Diamabrush By Malish Floor Restoration System The Diamabrush By Malish Floor Restoration

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Fabrication of Silicon-on-Insulator (SOI) and Strain- Silicon-on-Insulator (ssoi) Wafers Using Ion Implantation

Fabrication of Silicon-on-Insulator (SOI) and Strain- Silicon-on-Insulator (ssoi) Wafers Using Ion Implantation Fabrication of Silicon-on-Insulator (SOI) and Strain- Silicon-on-Insulator (ssoi) Wafers Using Ion Implantation Devendra K. Sadana IBM Watson Research Center, Yorktown, NY 10598 dksadana@us.ibm.com Michael

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING Laurent Vésier, Senior Quality Engineer, Rohm and Haas Electronic Materials CMP Technologies, Newark, DE Jason Lawhorn, Product Engineering

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution

Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution Optimized Cu plating in fan-out wafer-level packaging MultiPlate: a turnkey solution Cassandra Melvin Global Product Manager, Advanced Packaging Outline 1. Global megatrend IoT 2. Fan-out wafer-level packaging

More information

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

Kerf! Microns. Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers 2nd. Annual c-si PVMC Workshop at Intersolar NA, San Francisco, CA, July 2013 1 Microns Kerf! Driving Forces Impact of kerf is substantial in terms of silicon usage 50 % of total thickness for 100 mm wafers

More information

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP A Thesis Presented to The Academic Faculty By Andrés Osorno In Partial Fulfillment Of the Requirements for the Degree Master of Science in Mechanical Engineering

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information