Post-CMP Cleaning: Interaction between Particles and Surfaces

Size: px
Start display at page:

Download "Post-CMP Cleaning: Interaction between Particles and Surfaces"

Transcription

1 Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, , South Korea Defects due to CMP processes should be removed for next processing step. The zeta potential of slurry particle and substrate has been considered to be a critical factor in terms of particle adhesion and removal. The fundamental research such as the calculation of interaction force based on DLVO theory and the measurement of adhesion forces by AFM between slurry particle and wafer surfaces can enhance the understanding of cleaning mechanism and development of cleaning process. This paper gives an overview of post CMP cleaning process from fundamentals to the current and future. Keywords: Cleaning, Chemical-mechanical Polishing, Interaction, Particles 1. Introduction With the decrease of a feature size, planarization of both front and back end layers by the CMP process now seems an absolute must for IC fabrication technologies smaller than 0.5 µm [1]. Unfortunately, abrasive particles, metallic contaminations, and a damaged layer at the top surface could be generated during CMP process [2]. These particles essentially originate from the used slurries such as silica (SiO 2 ), alumina (Al 2 O 3 ) and ceria (CeO 2 ) but also from the polished surface materials and a lesser extent from the polishing equipments. These particles can be physically attached on the wafer surface or even in the worst case partially embedded on the top layer due to the mechanical pressure exerted by the pad. CMP process also leaves a metallic contamination typically in the ~10 12 atoms/cm 2 range. These contaminants arise from the abraded metal lines, metal ions in slurries, and the mechanical environment of the polishers. In front-end applications such as a STI process, the control of metallic contamination levels is very critical because of the following high temperature process. In the case of back-end steps, these parasitic metals must be removed as well in front-end steps because it can induce a lower breakdown voltage of devices. Organic nature particles have commonly occurred during metal and poly-si CMP. They are not easy to remove in conventional post CMP cleaning steps. They are mainly originated from pad, organic additives in slurry. Not like conventional wet cleaning processes, the contaminations induced by CMP process are much harder to remove in nature. A novel cleaning process should be developed based on the nature of CMP environments. 2. Surface property change due to slurry chemistry The hydrophobic poly-si was reported to introduce different polishing behavior with careful application of post CMP cleaning process. The hydrophilic surface does not usually create any water marks. On the other hand, the hydrophobic surface tends to attract water droplets that contain organic materials. When the water droplet was removed from the hydrophobic surface during wafer drying process, the water marks remained and organic residues formed. The water marks and organic residues recognized as a contaminant after CMP process. It is necessary to remove the contaminants from the poly-si surface. The mechanism of wettability and adhesion force of hydrophobic surfaces indicates that the controlling of the wettability of surface played an important role in the adhesion and removal force of organic residues on the poly-si surface. To control the wettability of surface, the contact angle and the adhesion force was investigated in KOH based solution at different concentrations of an additive. Contact angle and adhesion force were decreased as a function of the concentration of solution A, as shown

2 in Fig. 1. The solution A acts as a surface oxidant on the poly-si surface and makes more hydrophilic surface in the DI water. Figure 1. Surface property of contact angle of poly-si surface in the DI water and adhesion force of pad particle on the poly-si wafer surfaces in the KOH based solution at ph 11 as a function of concentration of the solution A. The friction force and pad temperature during poly-si CMP was measured as a function of concentration of solution A, as shown in Fig. 2. The hydrophilicity of surface due to the increase of solution A concentration resulted in a low friction force and pad temperature. Figure 3 shows the FESEM images of pad particle contamination on hydrophobic and hydrophilic poly-si wafer surface. Pad particle contaminations were observed on the hydrophobic poly-si wafer surface. The amount of pad particle contamination on wafers decreases with the increase concentration of solution A. It indicates that organic pad particle contamination and water marks may be caused by the nature of hydrophobicity of poly-si surface. It is well known that water marks can be more easily created on the hydrophobic surface than on the hydrophilic during drying process. Water marks were observed around the pad particles. It indicates that the controlling of the wettability of wafer surface played an important role in the adhesion and removal force of organic residues on the poly-si surface. Figure 2. Friction force and pad temperature of poly-si CMP as a function of concentration of solution A. (c) (d) Figure 3. FESEM images of polymeric particle contamination on the poly-si of hydrophobic surface,, (c) and (d) hydrophilic surface due to increase concentration solution A. Figure 4 shows images of defect map after CMP with slurry which was contained different additive concentrations. When solution A was added at different concentrations, defects on wafers were dramatically decreased. These results show that the hydrophilicity of surface plays an important role for post CMP cleaning process.

3 (c) (d) (e) (f) Figure 4. Defect maps before CMP, after CMP with no additive in slurry, (c), (d), (e) and (f) additive of 1, 3, 7 and 10 (A.U.) in slurry, respectively [3]. 3. Effects of polishing by-products CMP by-products, as shown in Fig. 5, happen to adhere on both pores and grooves of the pad and block the flow of slurry through the grooves resulting in the deterioration of nonuniformity. The selectivity (ratio of removal rate; Cu to TaN or dielectric film) is very important to minimize erosion. When wafers were polished on stained pad, the removal rate of Cu decreased around 30 % due to the poor slurry distribution and the selectivity decreased more than 40 % because the mechanical abrasion was enhanced by the presence of byproducts on pad surfaces. The lower the selectivity, the higher the level of erosion on polished patterned wafers. The higher frictional force on a stained pad results in higher temperature and etch rate of Cu which might be the reason for recess and dishing of Cu lines, as shown in Fig. 5 (b-e). (c) (d) (e) Figure 5. Typical CMP pad stains caused by Cu polishing by-products, the results of the changes of Cu removal rate and WIWNU as the number of polished wafers increased, (c) the erosion of Cu at 5 th and 23 rd wafers at different pattern densitie, (d) the change of selectivity of TaN and FSG vs. Cu at 5th and 23rd polished wafers, and (e) dishing of 200 and 500 µm line width on the 5 th and 23 rd polished wafers [4]. 4. Relationship between the interaction force and particle contamination The interaction force between particle and surface in solution can be controlled by adjusting the ph of solution. Figure 6 shows FESEM images of wafer surfaces after dipping in slurry solutions. Relatively large numbers of residual particles were observed on the wafer surfaces contaminated in acidic and neutral slurry. However, alkaline slurry solution showed the least number of particles on wafer surfaces. Alkaline slurry was much more desirable in controlling the level of particle contamination during Cu CMP.

4 Contamination of Cu wafers was performed using Cu slurry with silica particles. These wafers were cleaned in different cleaning solutions. Figure 7 shows the FESEM images of Cu surfaces after cleaning in different solutions. Large numbers of residual particles were observed on Cu surfaces cleaned in DI water, citric acid only solution, and citric acid solution with TMAH. However, citric acid solution with NH 4 OH showed complete removal of particles from Cu surfaces. The magnitude of adhesion force measured by AFM was directly related to particle removal results. Higher adhesion forces resulted in lower removal of particles. The particle removal experiments also agree with DLVO total interaction force calculation results. Figure 6. Interaction force and FESEM images of the wafer surfaces after dipping in the slurry solutions of acidic, neutral and alkaline ph [5]. Figure 7. The adhesion forces between Cu wafers and spherical silica particle in different solutions and FESEM images of the Cu surfaces after dipping in the slurry solution [6]. 5. Friction force change due to adhesion force The frictional characteristics of abrasive alumina and silica particles were experimentally investigated during the copper CMP process, as shown in Fig. 8. The frictional curves between the abrasive particles and the copper surfaces were measured using alumina and silica slurries both with and without citric acid. The alumina slurry was very sensitive to the chemistry of slurry. The highest frictional force of 9 kgf was observed in a DI water based alumina slurry. However, the lowest frictional force of 4 kgf was measured when citric acid was added in alumina slurry. The frictional forces of the silica particles (6 kgf) in the slurry were not significantly changed during CMP process regardless of the presence or absence of citric acid as observed in adhesion force measurements. The greater adhesion forces of particles on surface, the higher friction forces on copper. Friction Force ( Kgf ) DI Water + Alumina Alumina DI Water + Silica Silica Friction Force ( Kgf ) Citric Acid + Alumina + H 2 O 2 + NH 4 OH, ph6 Citric Acid + Silica + H 2 O 2 + NH 4 OH, ph6 Silica 2 2 Alumina Time (Sec.) Time (Sec.) Figure 8. The friction curves of abrasive particles in DI water and a citric acid-based solution during copper polishing [7].

5 6. Scratch defects due to adhesion force The adhesion forces of silica and alumina particles in the DI water and slurry solution were measured by AFM as shown in Fig. 11. The smallest adhesion force, 0.38 nn, was observed between the copper surface and alumina particles in a citric acid solution at ph 6. The largest adhesion force of alumina particles, 5.83 nn, was measured in DI water. The largest adhesion force of alumina particle in DI water was attributed to a stronger electrostatic attraction between alumina particle and copper surface in DI water due to their opposite sings of zeta potentials. The smallest adhesion force of alumina particles in the citric acid slurry was attributed to the selective adsorption of citrate on the alumina surface. However, the presence or absence of citric acid did not change the adhesion forces of the silica particles. This indicates that the adsorption of citrate reduces the adhesion forces of the alumina particles significantly. These results clearly show that the amount of adsorbed chemicals on the particle surfaces can affect the magnitude of the adhesion forces of the particles on wafer surfaces. It tells that the choice of chemical additives directly influences the adhesion force between slurry particle and substrate. The adhesion force is directly related to the friction force during polishing. Whatever removal rates are, the higher friction force, the higher level of scratches on polished surfaces. The low copper removal rates observed at high frictional forces may result in severe scratches on the copper surface. In order to investigate the relationships among the magnitudes of particle adhesion, frictional forces and scratching during the CMP process, AFM was used to observe the copper surfaces after the copper CMP process. Figure 9 shows the magnitude of particle contamination and scratches on the copper surfaces. The scan area of copper surface was µm 2. Large numbers of residual particles and scratches were observed on the polished copper surfaces in DI water with alumina particles. Silica particles also generated particle contamination and scratches on the copper surface when in either the DI water or the citric acid-based slurry. The depth of the scratches on the copper surface was dependent on the magnitude of the friction force. Higher fictional forces correlated to the observation of deeper scratches on the copper surfaces. Adhesion force is known to influence not only the magnitude of friction force but also the level of particle contamination on substrates which directly relate to the surface roughness and number of scratches after CMP. Even though similar friction forces were measured in both silica slurries with and without citric acid, lower adhesion force was observed in citric based silica slurry. Lower adhesion force indicates lower friction during polishing which actually resulted in smoother surfaces in citric based silica slurry. (c) (d) Figure 9. The adhesion forces of the particles on copper in DI water and citric acid solutions and AFM images of the copper surface after polishing in a DI water-based alumina slurry, a DI water-based silica slurry, (c) a citric acid-based alumina slurry, and (d) a citric acidbased silica slurry at ph 6 [7].

6 7. Conclusions The interaction between abrasive particle and wafer surface during CMP has strong relationship to not only post CMP cleaning performance but also final quality of surfaces after polishing. In the Cu CMP process, the relationship among friction force, removal rates, adhesion forces and defects such as scratches and particle contaminations was studied. The smallest adhesion force resulted in the lowest friction force in the alumina based slurry with the addition of citric acid. Higher particle adhesion forces generated higher frictional forces, abrasive particle contamination and scratches on the copper surfaces during the CMP process. This indicates that the magnitude of particle adhesion on the wafer surfaces in slurries can be directly related to the frictional behavior and surface quality during the CMP process. The study on the effects of slurry ph on the adhesion and removal of abrasive particles on various materials wafer surfaces was experimentally and theoretically investigated in slurry solutions. Alkaline slurry was much more desirable in controlling the level of particle contamination during Cu CMP. The ph of the slurry and zeta potentials of the surfaces played important roles in controlling the interaction force. Higher adhesion forces resulted in higher particle contamination on polished surfaces. The adhesion force of silica on Cu was measured using AFM in cleaning solutions. The smallest attractive force was observed in the solution mixture of citric acid and BTA with NH 4 OH. Theoretical and experimental adhesion forces exhibited good agreement. The complete removal of particles was observed when citric based cleaning solution with NH 4 OH is used. However, the addition of TMAH results in the highest adhesion forces. It indicates that selection of ph adjustors is also important. The adhesion force between particle and surface directly relates to the cleaning efficiency of cleaning solutions. In the poly-si CMP process, the adhesion and removal of the polymeric residues as a function of wettability of the poly-si surface was observed during poly-si CMP process. Much more pad particle contaminations with water marks were observed at hydrophobic poly-si surfaces than hydrophilic. Water marks were observed around the pad particles. The mechanism of wettability and high adhesion force of hydrophobic surfaces indicates that the controlling of the wettability of wafer surface played an important role in the adhesion and removal force of organic residues on the poly-si surface. 8. Acknowledgements This work is supported by the Medium-term Strategic Technology Development Program and Korea Institute of Industrial Technology Evaluation and Planning funded by the Ministry of Commerce, Industry and Energy (MOICE), the fostering project of the Lab of Excellency, post BK21 program and Samsung Electronics. References [1] J. M. Steigerwald, S. P. Murarka, R. J. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials (John Wiley & Sons, Inc., 1997) p. 1. [2] Y. L. Wang, T. C. Wang, J. Wu, W. T. Tseng, C. F. Lin, Thin Solid Film, 332, 385 (1998). [3] S. Yun, S. Han, J. Lee, Y. Hong, J. Park, B. Yun, C. Hong, H. Cho and J. Moon, The Electrochemical Society Fall Meeting, Cancun, Mexico, 2006 [4] J. H. Han, S. R. Hah, Y. J. Kang and J. G. Park, J. Electrochem. Soc. 154, H255 (2007) [5] S. Y. Lee, S. H. Lee and J. G. Park, J. Electrochem. Soc. 150, G327 (2003). [6] Y. K. Hong, D. H. Eom, S. H. Lee, T. G. Kim, J. G. Park and A. A. Busnaina, J. Electrochem. Soc. 151, G756 (2004). [7] Y. K. Hong, J. H. Han, T. G. Kim, J. G. Park and A. A. Busnaina, J. Electrochem. Soc. 154, H36 (2007).

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper H36 0013-4651/2006/154 1 /H36/5/$20.00 The Electrochemical Society The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper Yi-Koan Hong, Ja-Hyung

More information

Post CMP Defects; Their Origin and Removal

Post CMP Defects; Their Origin and Removal 2007 Levitronix CMP Users Conference Post CMP Defects; Their Origin and Removal Jin-Goo Park Div. of Materials and Chemical Engineering, Hanyang University, Ansan 426-791, Korea February 15, 2007 KOTEF

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates SPCC POST-CMP CONFERENCE High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates Daniela White*, PhD Sr. Principal Scientist Atanu Das, PhD Scientist Thomas Parson, PhD

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

Role of amino-acid adsorption on Silica and Silicon Nitride surfaces

Role of amino-acid adsorption on Silica and Silicon Nitride surfaces Role of amino-acid adsorption on Silica and Silicon Nitride surfaces during STI CMP Y. Nagendraprasad, S.Ramanathan * Particle Science and Polymer Laboratory Department of Chemical Engineering, Indian

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing

Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing Microelectronic Engineering 77 (25) 193 23 www.elsevier.com/locate/mee Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing Tzu-Hsuan Tsai a, *, Yung-Fu Wu

More information

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA Materials Transactions, Vol. 49, No. 9 (2008) pp. 2100 to 2106 #2008 The Japan Institute of Metals Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer Sung-Hae Jang a, Hyun-Tae Kim a, Dong-Hwan Lee a Jae-Hwan Lee b, Eun-Suck Choi b and Jin-Goo

More information

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* 1 Fujimi Corporation, Oregon, USA 97062 2 Fujimi Incorporated, Gifu, Japan 509-0108 *Contacts: hli@fujimico.com,

More information

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

Preparation and Polishing Properties of Spherical Porous Silica Abrasive American Journal of Nanotechnology 1 (1): 32-39, 2010 ISSN 1949-0216 2010 Science Publications Preparation and Polishing Properties of Spherical Porous Silica Abrasive Hong Lei, Hu Li, Ping Liu and Ruling

More information

CMP Defects and Evolution of PCMP Cleans

CMP Defects and Evolution of PCMP Cleans CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX Agenda 1. Introduction to Linx Consulting 2. Where Have We Been

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Optimized CMP of ULK Dielectrics

Optimized CMP of ULK Dielectrics Optimized CMP of ULK Dielectrics Taek-Soo Kim Markus Ong Reinhold H. Dauskardt (dauskardt@stanford.edu) Collaborations: Tatsuya Yaman and Tomohisa Konno JSR Micro, Inc. Research supported by the SRC, DOE

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 1, pp. 59-63 JANUARY 2015 / 59 10.1007/s40684-015-0008-9 Effect of Surfactant on Package Substrate in Chemical

More information

Effects of Slurry Particles on Silicon Dioxide CMP

Effects of Slurry Particles on Silicon Dioxide CMP G512 0013-4651/2004/151 8 /G512/11/$7.00 The Electrochemical Society, Inc. Effects of Slurry Particles on Silicon Dioxide CMP Wonseop Choi, Jeremiah Abiade, Seung-Mahn Lee,* and Rajiv K. Singh**,z Department

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

Copyright Fujimi Corporation 2013

Copyright Fujimi Corporation 2013 High and Low Selectivity Slurries for Silicon Carbonitride CMP Hooi-Sung (Brian) Kim, Fusayo Saeki, Brian Milligan, Yasuyuki Yamato, Satoru Yarita, Yuuichi Watanabe, Tomohiko Akatsuka and Anne Milller

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu The Center for Advanced Materials Processing (CAMP), Clarkson University 1 1.

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Characterization of Non-Amine-based Post-Copper Chemical Mechanical

Characterization of Non-Amine-based Post-Copper Chemical Mechanical Characterization of Non-Amine-based Post-Copper Chemical Mechanical Planarization Cleaning Solution Ramachandran Manivannan 1, Byoung-Jun Cho 2, Xiong Hailin 2, Srinivasan Ramanathan 3, Jin- Goo Park 1,2,*

More information

Fabrication of sub-100nm thick Nanoporous silica thin films

Fabrication of sub-100nm thick Nanoporous silica thin films Fabrication of sub-100nm thick Nanoporous silica thin films Abstract M. Ojha, W. Cho, J. L. Plawsky, W. N. Gill Department of chemical and biological engineering, Rensselaer Polytechnic Institute Low refractive

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles 20 TH SUFACE PEPAATION AND CLEANING CONFEENCE (SPCC) 2018 Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles Atanu Das, Daniela White, Wonlae Kim,

More information

Author(s) In-Kwon Kim, Y. Nagendra Prasad, Tae-Young Kwon, Hyuk-Min Kim, Ahmed A. Busnaina, and Jin-Goo Park

Author(s) In-Kwon Kim, Y. Nagendra Prasad, Tae-Young Kwon, Hyuk-Min Kim, Ahmed A. Busnaina, and Jin-Goo Park Author(s) InKwon Kim, Y. agendra Prasad, TaeYoung Kwon, HyukMin Kim, Ahmed A. Busnaina, and JinGoo Park This article is available at IRis: http://iris.lib.neu.edu/chn_pubs/25 H152 Journal ofthe Electrochemical

More information

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2 19 th Surface Preparation and Cleaning Conference (SPCC) April 11, 2018 Jung-Hwan Lee 1, Murhukrishnan Purushothaman 1, Kwang-Min Han 1, Shohei Shima 3, Satomi Hamada 3, Hirokuni Hiyama 3, and Jin-Goo

More information

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing Journal of The Electrochemical Society, 153 6 B193-B198 2006 0013-4651/2006/153 6 /B193/6/$20.00 The Electrochemical Society Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Simple Cubic Crystal

Simple Cubic Crystal Starting Material Simple Cubic Crystal Crystal Planes offset by d/4 Diamond lattice cell (C, Si, Ge, etc.) face atom in FCC corner atom in FCC (100) plane (110) plane (111) plane Crystal Planes/Direction

More information

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors Jamil Akhtar Professor AcSIR New Delhi Chief Scientist & Head, CSIR-CEERI, Pilani, INDIA CEERI, Pilani A constituent laboratory of CSIR,

More information

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

Slurry concentration [Vol.%]

Slurry concentration [Vol.%] 6. Discussions 6.1 Discussions of rheological properties on the starting slurries and the dependence on porosity as well as the pore size distribution The analysis performed in the previous section (especially

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Introducing Advanced PCMP Cleaning Solutions

Introducing Advanced PCMP Cleaning Solutions Introducing Advanced PCMP Cleaning Solutions With Surfactanized Metal Inhibitors and Oxygen Scavengers New Particle Remover By Geoffrey Yuxin Hu, Brizon Inc Lily Yao, Western Digital Corporation Contents

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control

Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control Supporting Information Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control Quang N. Pham 1, Bowen Shao 2, Yongsung Kim 3 and Yoonjin Won 1,2 * 1 Department of Mechanical

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries Michael L. White, Richard. Romine, Lamon Jones and William Ackerman Cabot Microelectronics

More information

CMP MARKETS & VALUE CHAIN PERSPECTIVES

CMP MARKETS & VALUE CHAIN PERSPECTIVES CMP MARKETS & VALUE CHAIN PERSPECTIVES MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING MCORBETT@LINX-CONSULTING.COM A PRESENTATION FOR THE CMP USERS GROUP JULY 17, 2007 LINX CONSULTING OUTLINE 1. Introduction

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

Characterization of 5-Aminotetrazole as a Corrosion Inhibitor in Copper Chemical Mechanical Polishing

Characterization of 5-Aminotetrazole as a Corrosion Inhibitor in Copper Chemical Mechanical Polishing 0013-4651/2005/152 12 /C827/5/$7.00 The Electrochemical Society, Inc. Characterization of 5-Aminotetrazole as a Corrosion Inhibitor in Copper Chemical Mechanical Polishing Jong-Won Lee,* Min-Cheol Kang,**

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

The effect of hydrogen peroxide on polishing removal rate in CMP with various

The effect of hydrogen peroxide on polishing removal rate in CMP with various The effect of hydrogen peroxide on polishing removal rate in CMP with various abrasives R. Manivannan a, S. Ramanathan a,* a Particle Science and Polymer Laboratory Department of Chemical Engineering,

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage:

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage: Wear 270 (2011) 312 316 Contents lists available at ScienceDirect Wear journal homepage: www.elsevier.com/locate/wear Chemical effect on the material removal rate in the CMP of silicon wafers Y.G. Wang,

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Slurry Handling, Troubleshooting and Filtration Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Key CMP Issues & Their Causes Three key CMP issues encountered in field: High defects/microscatches

More information

Particle Characterization of Abrasives

Particle Characterization of Abrasives Particle Characterization of Abrasives Mark Bumiller mark.bumiller@horiba.com Factors Affecting Abrasion Mechanics Difference in hardness between the two substances: a much harder abrasive will cut faster

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Keywords: liquid crystal, ion beam irradiation method, pretilt angle, amorphous carbon.

Keywords: liquid crystal, ion beam irradiation method, pretilt angle, amorphous carbon. THE STABILITY OF LIQUID CRYSTAL PRETILT ANGLE ON ION BEAM IRRADIATED AMORPHOUS CARBON FILMS DEPENDING ON AIR EXPOSING SEQUENCE AND SURFACE CLEANING METHOD Jongbok Kim Department of Materials Science and

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Thin film silicon substrate formation using electrochemical anodic etching method

Thin film silicon substrate formation using electrochemical anodic etching method Thin film silicon substrate formation using electrochemical anodic etching method J.-H. Kwon 1, S.-H. Lee 2 and B.-K. Ju* 3 The production of detached porous silicon (PS) layers for layer transfer (LT)

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Chemical Mechanical Planarization (CMP) Slurry Manufacturing Customer Application Brief Chemical Mechanical Planarization (CMP) Slurry Manufacturing Introduction The Chemical Mechanical Planarization (CMP) process plays a key role in the manufacture of data storage,

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable

More information

iafor The International Academic Forum

iafor The International Academic Forum IPA Free Texturization Process for Monocrystalline Silicon Solar Cells by PTFE Mask Thipwan Fangsuwannarak, Suranaree University of Technology, Thailand The Asian Conference on Sustainability, Energy and

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information