Effects of Slurry Particles on Silicon Dioxide CMP

Size: px
Start display at page:

Download "Effects of Slurry Particles on Silicon Dioxide CMP"

Transcription

1 G /2004/151 8 /G512/11/$7.00 The Electrochemical Society, Inc. Effects of Slurry Particles on Silicon Dioxide CMP Wonseop Choi, Jeremiah Abiade, Seung-Mahn Lee,* and Rajiv K. Singh**,z Department of Materials Science and Engineering and Particle Engineering Research Center, University of Florida, Gainesville, Florida , USA The performance of chemical mechanical polishing CMP is determined by the dynamic contact behavior sliding or rolling of the slurry particles during polishing. The dynamic contact behavior of slurry particles is dependent on the shape, size, and concentration of particles. In this paper, the dynamic contact characteristics and their effects on the CMP performance were investigated through in situ friction force measurements, atomic force microscopy images, and polishing experiments. For slidingdominated contact, the friction force remains unchanged within the specified testing period yielding scratch-type surface topography. For rolling-dominated contact, the friction force decreases with time under a constant solids loading, producing shot-blast surface images. The polishing rate increases with solids loading of sliding particles and decreases with solids loading of rolling particles. Further optimization of the CMP process is possible by tailoring the dynamic contact of abrasive particles The Electrochemical Society. DOI: / All rights reserved. Manuscript submitted October 28, 2003; revised manuscript received January 12, Available electronically July 20, As the minimum feature size of microelectronic devices reaches the 100 nm technology node, chemical mechanical polishing CMP has become the best choice for global and local planarization. 1-4 Global planarization which is essential to produce a multilevel integrated circuit IC device, is achieved by reducing the topography variation at the wafer scale. Without CMP, it would be impossible to fabricate complex, dense, and miniaturized IC devices. During the past decades, CMP has significantly advanced both in the development of sophisticated processing tools and in the formulation of slurries. Despite these achievements, CMP remains one of the least understood areas in microelectronic device fabrication processing. Even though the characteristics of slurry particles i.e., shape, size and concentration are critical in determining CMP performance, the effect that the slurry particles have on polishing performance is not clear. For polishing of copper or ferrite, it was suggested that the polishing rate is proportional to particle size and solids loading. 5,6 Cook 7 presented data suggesting that the polishing rate is independent of particle size for glass polishing. Izumitani 8 suggested that the polishing rate decreases with increasing particle size. Our previous work suggests two polishing mechanisms in silica CMP. 9 One is a contact-area-based mechanism by which A C 1/3 1/3 0 1 where A is the contact area, C 0 is the particle concentration, and is the particle diameter. In this model, the polishing rate increases with an increase in particle concentration and a decrease in particle size, which was observed during tungsten CMP. 10 The other is an indentation-volume-based mechanism by which V C 1/3 0 4/3 2 * Electrochemical Society Student Member. ** Electrochemical Society Active Member. z rsing@mse.ufl.edu where V is the indentation volume. According to this indentationvolume-based mechanism, the polishing rate increases with decreasing particle concentration and increasing particle size. This mechanism was observed via silica polishing experiments. 9 On the micro- and nanoscales, the polishing rate is significantly dependent on the dynamic contact of particles between the pad and the wafer. To examine the dynamic interfacial contact of particles during CMP, friction force measurement techniques and surface finish analysis are considered the most applicable methods because of the possibility of direct observation of contact phenomena. 11 Abrahamson et al. 12 compared the material removal mechanism for loose abrasive particles i.e., two-body contact and for bonded abrasive particles i.e., three-body contact. As seen in Fig. 1, it has been reported that three-body contact produced a shot-blast appearance, whereas two-body contact yielded continuous scratches. Macmillan et al. 13 studied the sliding frictional behavior under various chemical environments using pin-on-disk friction force measurements. Scratches by particles undergoing sliding motion were observed on the MgO surface. Previous results showed that the electrostatic repulsion force between particle and wafer and wafer surface roughness play important roles in determining the friction force during polishing. 14,15 This paper presents further validation of the polishing mechanism using sol-gel silica slurries. The effects of particle size and solids loading on friction force and surface finish were studied to delineate the dynamic contact of particles during polishing. Experimental CMP slurries were formulated by dispersing sol-gel silica particles from Geltech Corporation in deionized DI water. The average particle diameters provided by Geltech were 0.2, 0.5, 1.0, and 1.5 m. The solids loadings were varied from 0.5 to 30 wt %. Slurries composed of sol-gel silica particles were dispersed using an ultrasonic bath and were stabilized by adjusting ph values to 10.5 with 0.1 M NaOH and 0.1 M HNO 3. The average size and distribution of stabilized sol-gel silica particles were analyzed with a Coulter LS 230 instrument that uses a dynamic light scattering technique. In addition, the shape and size of the particles were determined by scanning electron microscopy SEM analysis. Polishing samples were clipped to in. from an 8 in. p-type silicon wafer on which silica of 2.0 m thick was deposited by plasma-enhanced chemical vapor deposition. IC 1000/Suba IV stacked pads supplied by Rodel Inc. were utilized as CMP pads, and a Grid-Abrade diamond pad conditioner was used to abrade the pad before each test. A Struers RotoPol-31 tabletop polisher was used to polish samples. The following conditions were applied to each run: down pressure of 3.5 psi kpa, polishing time of 30 s, slurry flow rate of 100 ml/min, and rotation speed of 150 rpm 110 cm/s. The polishing tests were repeated three times to ensure reliable results. The polishing rates were determined by measuring oxide film thickness on the samples before and after the polishing experiments, using a J. A. Woollam variable angle spectrometry ellipsometry. Atomic force microscopy AFM with a Digital Instruments Nanoscope III was used to characterize the surface finish of the polished samples. In situ friction force measurements were carried out to investigate the contact behavior at the pad-particles-wafer interface. As seen in Fig. 2, an in situ friction force measurement tool was mounted on the Struers RotoPol-31 tabletop polisher. A Sensotec model 31 load cell was used to measure the friction force, which was connected to a data acquisition system, with a data point recorded every 250 ms. Experimental conditions of in situ friction force measurements were the same as the process conditions of pol-

2 G513 Figure 1. Wear patterns for a fused silica and b 1018 steel using two-body abrasion top and three-body abrasion bottom. 12 ishing experiments. The friction force was measured during 1 min for each run. During the initial 15 s, DI water was utilized as a baseline condition. For the next 45 s, the variation of friction forces due to the presence of slurry particles was measured. Figure 2. Schematic illustration of friction force measurement tool. Results and Discussion Analysis of shape and size of sol-gel silica particles. We directly observed the shape and size of sol-gel silica particles with SEM and statistically detected the size and distribution of the silica particles with light scattering particle size analysis. As shown in Fig. 3, the primary particles are spherical in shape and are similar in size. The average particle sizes measured from SEM micrographs are a 0.22, b 0.59, c 1.13, and d 1.62 m, respectively. These direct observations are statistically confirmed by light scattering particle size analysis. As shown in Fig. 4, particle size distribution curves show single peaks with average particle diameters standard deviation of particle size of 0.20 m ( 0.05 m), 0.55 m ( 0.08 m), 1.09 m ( 0.16 m), and 1.53 m ( 0.22 m), respectively. The average particle size measured by SEM is analogous to that measured by light scattering methods. Both observations agree well with the average particle size that Geltech has provided. The spherical shape and uniform size distribution of these silica particles make it possible to distribute equally the particles in contact with the pad and wafer during CMP.

3 G514 Figure 3. SEM micrograph of silica particles: a 0.2, b 0.5, c 1.0, and d 1.5 m. Figure 4. Size distribution of silica particles measured by dynamic light scattering. Analysis of in situ friction force as a function of particle size and solids loading. In situ monitoring of interfacial interactions between pad, particles, and wafer is essential to analyze the polishing mechanism. The interfacial contact of pad, particles, and wafer can be altered as a function of particle size and solids loading, resulting in a variation of friction force. Therefore, in situ friction force measurements can provide an insight into the polishing mechanisms. Figure 5 shows the friction force as a function of time for various solids loading of 0.2 m silica particles. During the initial 15 s, the friction force was measured with just DI water between the wafer and the pad. Particle-free slurries were utilized as a baseline for comparison with the friction force measured for abrasive-containing slurries. During the following 15 s, the abrasive-containing slurry flowed into the pad-wafer interface, elevating the friction force from the baseline to higher levels. Particles inserted into the interface between pad and wafer form three-body contact pad, particles, and wafer, enhancing the friction force. Finally, average friction forces were saturated with time in the range of s. Particles embedded in a soft pad slide on the wafer surface at a constant speed, causing a constant friction force. While particles are in contact with the wafer, the friction force increases with the increase of solids loading. The increase of solids loading leads to an increase in the number of particles in contact with the wafer, enlarging the total contact area of particles between the wafer and pad. Therefore, the increase in friction force due to an increase in solids loading may be attributed to

4 G515 Figure 5. Friction force as a function of time for various solids loading of 0.2 m silica particles. an increase in contact area between the wafer and particles embedded in the pad for sliding conditions. Figure 6 shows the friction force as a function of time for various solids loadings of 0.5 m sol-gel silica particles. As shown in Fig. 6a, the friction force increased and then remained unchanged with time for low solids loading less than 7 wt %. The friction force also increased with the increase of solids loading. This indicates that particles in contact with the wafer are sliding, and the number of particles in contact with the wafer increases with an increase in solids loading. The observed increase in friction force was in agreement with our previous results, in which the friction force was enhanced when the solids loading was increased. 16 As shown in Fig. 6b, the friction force initially increased and then decreased with time for high solids loading more than 8 wt %. When slurry particles flow into the pad-wafer interface, the fraction of the wafer surface covered with slurry particles increases to the point of saturation, at which point lubrication begins. The observed decrease in friction force in the presence of spherical silica particles indicates the lubricating effect of rolling silica particles. The frictional forces produced by rolling are much lower than those produced by sliding, which is in agreement with theoretical principles concerning rolling. 17 Lubrication due to rolling motion in the presence of a layer of Bucky ball bearings was also reported. 18 When spherical ball bearings were rolled between two flat surfaces, the friction force decreased with time. We also observed Fig. 6b that the friction force decreased with increasing solids loading. This decrease in friction force is in opposition to the frictional behavior shown in Fig. 6a. This demonstrates an alteration in the characteristics of the interfacial dynamic contact due to the change in solids loading and particle size. Figure 7 shows the friction force as a function of time for various solids loading of 1.0 m silica particles. As shown in Fig. 7a, for low solids loading less than 4 wt %, the friction force remained unchanged with time in the presence of slurry particles. Compared to frictional forces produced by 0.5 m silica particles, the transition between the sliding and the rolling behavior decreases from 7 to 4 wt %, which is due to an increase in rolling probability as the particle size increases. 17 Otherwise, when the small silica particles e.g., 0.2 m were used, evidence of rolling behavior was not observed using our in situ friction force measurements. Figure 7b shows the friction force as a function of time with high solids loading more than 5 wt %. The friction force initially increased due to the addition of slurry into the pad-wafer interface and then gradually decreased with time. This frictional behavior is analogous to that produced by 0.5 m silica particles of high solids loading. Friction force measurements at 5 and 8 wt % silica particles showed two Figure 6. Friction force as a function of time for 0.5 m silica particles: a low solids loading less than 7 wt % and b high solids loading more than 8wt%. frictional regions: one is a high frictional force region i.e., sliding region between 20 and 30 s, and the other is the low frictional force region i.e., rolling region between 30 and 60 s. These two regions indicate that a transition occurs from sliding to rolling of particles between the pad and the wafer. The sliding region decreases with increasing solids loading. This suggests that sliding is a main contact motion for low solids loading and that rolling is a dominant contact motion for high solids loading. Figure 8 shows the friction force as a function of time for different solids loading of 1.5 m size silica particles. As seen in Fig. 8a, the friction force remains unchanged with time for the initial stage of slurry flowing, which indicates that particles are undergoing sliding motion for the solids loading less than3wt%. The transition from sliding to rolling occurred at 3 wt % for 1.5 m particles as opposed to 4 wt % for 1.0 m particles. This result indicates that larger particles start rolling motion at lower solids loading conditions. Figure 8b shows the friction force as a function of time for high solids loading more than 4 wt % of 1.5 m silica particles. The friction force obtained at 4 wt % showed the combined frictional behavior of sliding and rolling. For high solids loading more than 5 wt %, the friction force decreased with time, indicating that particles are undergoing predominantly rolling motion. Figure 9 shows the friction force as a function of solids loading for different particle sizes, which was redrawn from the graph of friction force vs. time Fig For sliding conditions, the friction force increased with an increase in solids loading and particle size;

5 G516 Figure 7. Friction force as a function of time for 1.0 m silica particles: a low solids loading less than 4 wt % and b high solids loading more than 5wt%. Figure 8. Friction force as a function of time for 1.5 m silica particles: a low solids loading less than 3 wt % and b high solids loading more than 5wt%. whereas, in rolling conditions, the friction force decreased with an increase in solids loading and particle size. Clearly, the response of friction force to solids loading and particle size is dependent on the dynamic condition of slurry particles. For sliding slurry particles, the effect of solids loading and particle size on friction force can be explained as follows. The increase of solids loading leads to an increase in the number of particles contacting the pad and the wafer. Increasing the amount of particles at the pad-wafer interface results in a more uniform pressure distribution at the wafer surface for constant down pressure. 19,20 The friction force as measured by us has been assumed to arise only from regions of pad-wafer contact and particle on pad-wafer contact. 21 For smaller particles 0.2 m, the increase in solids loading results in an increase in total contact area Eq. 1. This increase in the number of particles available for polishing at the wafer surface results in a more uniform distribution of pressure, which is evidenced by a reduction in surface roughness as solids loading increases see Fig. 10, 14a. However, the pressure distributed on the abrasive particles remains sufficient to ensure that particles remain embedded in the polishing pad. As evidenced by the AFM images Fig. 10, particles embedded in the polishing pad produced scratches on the surface due to sliding motion. In the particle size range m, wherein a transition from sliding to rolling motion occurred, the contact area scales inversely with particle size Eq. 1. The transition from rolling to sliding oc- Figure 9. Friction force as a function of solids loading for different particle sizes.

6 G517 Figure 10. AFM overview images for wafer polished with 0.2 m Geltech silica particles of different solids loading: a 0.5, b 5, c 15, and d 30 wt %. curs at lower solids loading conditions as the particle size increases. As discussed for 0.2 m particles, for constant down pressure, increasing the amount of slurry particles at the pad-wafer interface results in a reduction in the pressure per particle at the wafer surface. Zhao and Shi have produced a theoretical CMP model in which the material removal mechanism is dependent on the existence of a threshold pressure. 22 This threshold pressure marks the onset of either rolling or sliding motion. According to their work, material removal at the wafer surface should be negligible for rolling conditions. Appreciable removal rates are expected when the friction between the pad and particle exceeds that between particle and wafer surface. The surface area of the particles may be utilized to explain the appearance of the sliding-to-rolling transition at lower solids loading conditions as particle size increases. Surface area decreases as particle size increases, resulting in less interaction area between particle and opposing surfaces pad and/or wafer. Viain situ friction force measurements, we were able to give experimental validation of the prediction of threshold pressure during CMP. Effect of particle size and solids loading on surface finishes. The surface finish of polished samples can be considered as the surface topography produced during CMP. The surface topography of polished samples was measured by AFM, in which surface images are taken by scanning the sample relative to the probing tip and measuring the deflection of the cantilever as a function of lateral position at the atomic level. 23 Figure 10 shows overview images of wafers polished with 0.2 m silica particles for different solids loading: a 0.5, b 5, c 15, and d 30 wt %. Randomly distributed scratches have been detected in all the images investigated. As evidenced by the in situ friction force measurements in Fig. 5, material removal was achieved by sliding of slurry particles. Therefore, scratches were produced due to the sliding of slurry particles along the wafer surface. These observed scratches on the surface are also in agreement with those produced by two-body contact, as shown in the top images of Fig This indicates that slurry particles remove material at the wafer surface by sliding while embedded in the pad. It was also observed that the number of scratches produced on the wafer decreased with increasing solids loading. From the difference of surface topography between 0.5 and 30 wt %, it can be easily detected that the increase in solids loading leads to the formation of a smoother surface. Figure 11 shows overview images of wafers polished with 0.5 m silica particles for different solids loading: a 0.5, b 5, c 15, and d 30 wt %. When solids loadings were low, many scratches were produced on the wafer surface. These observed scratches were similar to the images detected on wafers polished with 0.2 m silica particles. However, when solids loadings were high 15 and 30 wt %, shot-blast appearances were found on the wafer surface instead of scratches. These shot-blast images were in accordance with the images produced by three-body contact shown

7 G518 Figure 11. AFM overview images for the wafer polished with 0.5 m Geltech silica particles of different solids loading: a 0.5, b 5, c 15, and d 30 wt %. in the bottom of Fig Similar contact images were observed on surfaces produced by a rolling sphere for three-body contact. 23 In addition, as seen in Fig. 6b, the decrease of friction force due to rolling of slurry particles was observed. This indicates that rolling particles move freely between the pad and the wafer, yielding shotblast surfaces. It is interesting to observe the phenomena at intermediate solids loading conditions i.e., 5wt%. For the intermediate condition of solids loading, scratch images were observed together with a shot-blast appearance. This indicates that material is removed by two different dynamic motions i.e., sliding and rolling during polishing. As seen in Fig. 6b, in situ friction force measurements detected the coexistence of two dynamic contact motions at 8 wt %. Despite the different critical solids loading at which two dynamic contact motions coexist, it is possible to detect the transition between predominantly sliding contact and predominantly rolling contact. Figure 12 shows overview images of a wafer polished with 1.0 m silica particles for different solids loading: a 0.5, b 2, c 15, and d 30 wt %. An overview image of the wafers polished with 0.5 wt % particles shows numerous scratches. As mentioned previously, we assume that scratches are produced due to the sliding motion of particles. Both scratches and shot-blast features appear together on the surface of wafers polished with 2 wt % particles Fig. 12b. Significant plastic deformation is shown along a band m wide. These tracks also exhibit considerable cracking and cratering. These plastic deformations, which were not shown on wafers polished with small particles 0.2 and 0.5 m, may only be produced by large silica particles. Macmillan et al. 13 also showed a similar SEM picture of the track that was produced by a sliding sapphire ball. An overview image of a wafer polished with 15 and 30 wt % particles clearly showed a series of shot-blast regions, which indicates the rolling of particles observed during three-body contact. Figure 13 shows overview images of a wafer polished with 1.5 m silica particles for different solids loading: a 0.5, b 2, c 15, and d 30 wt %. For 0.5 wt %, polished surfaces with numerous scratches are apparent. However, overview images of a wafer polished with 2 wt % particles shows a predominance of shot-blast regions rather than scratches. For 15 wt %, instead of scratches, a mostly shot-blast appearance is observed on the wafer surface. Also, overview images of a wafer polished at 30 wt % show a smooth wafer surface with a shallow shot-blast appearance. Figure 14 shows the root-mean-square rms surface roughness as a function of solids loading for various particle sizes: a 0.2, b 0.5, c 1.0, and d 1.5 m. For 0.2 m silica particles, the surface roughness decreased with an increase in solids loading. However, for low solids loading

8 G519 Figure 12. AFM overview images for the wafer polished with 1.0 m Geltech silica particles of different solids loading: a 0.5, b 2, c 15, and d 30 wt %. of 0.5, 1.0, and 1.5 m silica particles, the surface roughness increased with an increase in solids loading. The aforementioned roughness results were obtained during the sliding conditions. For sliding conditions, the surface roughness produced due to polishing with small particles is different from that of large particles. The increase in solids loading of small particles i.e., 0.2 m leads to an increase in the total contact area. It has been noted that local bonding during contact results in weakening of bonding forces at the surface, which allows material to be removed at the atomic level. 24 Along with the redistribution of pressure at the wafer surface, the increased contact area is expected to enhance the kinetics of the chemical reaction by enhancing the solubility of the silica species, resulting in low surface roughness. 8 The increase in solids loading of large particles 0.5, 1.0, and 1.5 m results in an increase in surface roughness. For low solids loading of large particles, the increase of surface roughness may be due to the small number of particles. It is thought that the number of scratches increases up to critical solids loading, leading to an increase in surface roughness. For rolling conditions more than 5 wt % of 0.5, 1.0, and 1.5 m silica particles, the surface roughness decreased with an increase in solids loading, which is in agreement with the response of friction force to solids loading, as seen in Fig. 9. Therefore, lubrication due to rolling particles is thought to reduce the surface roughness as solids loading increases. Effect of particle size and solids loading on polishing rate. To further elucidate the mechanism of silica polishing, the polishing rate was investigated as a function of particle size and solids loading. Figure 15 shows the polishing rate as a function of solids loading for various particle sizes at 3.5 psi. The polishing rate increased with the increase of solids loading for the 0.2 m silica particles. For 0.5 m silica particles, the polishing rate shows the transition behavior at 5 wt % of particles. The 1.0 and 1.5 m silica particles induced the same transition behavior at lower solids loading of particles i.e., 2wt%. According to in situ friction force measurements and AFM images of polished wafer surfaces, the 0.2 m silica particles and the larger particles 0.5, 1.0, and 1.5 m at low solids loading achieved material removal via sliding of slurry particles. The increase in the contact area of sliding particles leads to an increase in friction force and polishing rate, which yields no evidence of lubrication effects such as evidenced during rolling of slurry particles. For large particles 0.5, 1.0, and 1.5 m at high

9 G520 Figure 13. AFM overview images for the wafer polished with 1.5 m Geltech silica particles of different solids loading: a 0.5, b 2, c 15, and d 30 wt %. solids loading, a negligible amount of material is removed due to the rolling motions of slurry particles, which was confirmed by in situ friction force measurements and AFM images of the polished wafers. The polishing rate decreased with increasing solids loading, suggesting that lubrication effects due to rolling of slurry particles dominated as solids loading increased. For low solids loading less than 2 wt %, the increase in particle size leads to an increase in the polishing rate, which was achieved due to the sliding motion of slurry particles. In situ friction force measurements provided an insight into the interfacial contact of sliding particles. The increase in particle size results in an increase in the indentation depth per particle, which was confirmed by surface roughness rms values. The increased indentation depth per particles may be responsible for the increase in friction force and polishing rate observed. For high solids loading more than 15 wt %, the increase of particle size leads to a decrease in polishing rate, which was due to rolling motions of slurry particles. Based on in situ friction force measurements, the lubrication effects of rolling particles increased with increasing particle size, thus leading to the reduction of friction force and polishing rate in agreement with theoretical predictions. 22 These observations of varying polishing rate with particle size and solids loading suggested that various polishing mechanisms are involved in silicon dioxide CMP. It has been shown that, by delineating dynamic motions of slurry particles at the padwafer interface, appropriate criteria may be selected for robust slurry design for optimized CMP performance. Conclusions The investigation into the interfacial dynamic motion of silica particles during CMP provides critical information about silica polishing mechanisms. The polishing mechanisms of silica were elucidated via in situ friction force measurements and AFM images. The dynamic motion sliding and/or rolling of silica particles was determined by the friction force behaviors. AFM images demonstrated that the effect of sliding motion of silica particles was scratch-type surfaces and that rolling motion of silica particles induced a shotblast appearance. From in situ friction force measurements and analysis of AFM images, it was found that the polishing rate in silica CMP was dependent on the characteristics of the dynamic motion of silica particles. For the sliding motion of particles, the polishing rate is directly proportional to solids loading and particle size. For the rolling motion of particles, the polishing rate is inversely proportional to solids loading and particle size. We conclude that these phenomena are results of dynamic motions of silica particles. The

10 G521 Figure 14. Surface roughness rms as a function of solids loading for various particle sizes: a 0.2, b 0.5, c 1.0, and d 1.5 m. observed polishing behavior provides further insight into the polishing mechanism while confirming theoretical predictions of the existence of a threshold pressure that determines dynamic particle motion sliding and/or rolling at the pad-wafer interface. Further work is needed to quantitatively determine the threshold pressure that marks the onset of sliding or rolling motion. Figure 15. Polishing rate as a function of solids loading for different particle size. Acknowledgments The authors acknowledge the financial support of the Particle Engineering Research Center PERC at the University of Florida, the National Science Foundation NSF grant EEC , and the Industrial Partners of the PERC. The University of Florida assisted in meeting the publication costs of this article. References 1. J. M. Steigerwald, S. P. Murarka, and R. J. Gutmann, Chemical-Mechanical Planarization of Microelectronic Materials, John Wiley & Sons, New York K. D. Beyer, IBM Micronews, 5, L. Shon-Roy, Solid State Technol., 43, R. K. Singh, R. Bajaj, M. Moinpour, and M. Meuris, Mater. Res. Soc. Symp. Proc., R. Jairath, M. Desai, M. Stell, R. Tolles, and D. Scherber-Brewer, Mater. Res. Soc. Symp. Proc., 337, Y. Xie and B. Bhushan, Wear, 200, L. M. Cook, J. Non-Cryst. Solids, 120,

11 G T. Izumitani, in Treatise on Materials Science and Technology, M. Tomozawa and R. Doremus, Editors, p. 115, Academic Press, New York U. Mahajan, M. Bielmann, and R. K. Singh, Mater. Res. Soc. Symp. Proc., 566, M. Bielmann, Masters Thesis, University of Florida, Gainesville, FL U. Mahajan, M. Bielmann, and R. K. Singh, Electrochem. Solid-State Lett., 2, G. R. Abrahamson, E. J. Duwell, and W. J. Mcdonald, J. Tribol., 113, N. H. Macmillan, R. D. Huntington, and A. R. C. Westood, J. Mater. Sci., 9, K. Rajan, R. K. Singh, J. Adler, U. Mahajan, Y. Rabinovich, and B. M. Moudgil, Thin Solid Films, 529, S. Ramarajan, Y. Li, M. Hariharaputhiran, Y.-S. Her, and S. V. Babu, Electrochem. Solid-State Lett., 3, W. Choi, S.-M. Lee, and R. K. Singh, in Chemical-Mechanical Polishing Advances and Future Challenges, Edited by S. V. Babu, K. C. Cadien, J. G. Ryan, and H. Yano, Mater. Res. Soc. Proc. M5.1, MRS, San Francisco, CA B. Bhushan, Introduction to Tribology, John Wiley & Sons, New York D. Myers, Surface, Interfaces, and Colloids: Principles and Applications, 2nd ed. p. 454, John Wiley & Sons, New York Y. Zhao and L. Chang, Wear, 1, S. Timoshenko and J. N. Goodier, Theory of Elasticity, p. 174, McGraw-Hill, New York W. Choi, S.-M. Lee, J. Abiade, and Rajiv K. Singh, J. Electrochem. Soc., 151, G B. Zhao and F. Shi, Electrochem. Solid-State Lett., 2, E. Meyer, Prog. Surf. Sci., 41, Y. Morii, K. Yamuchi, and K. Endo, Precis. Eng., 9,

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Evaluation of Mechanical Properties of Hard Coatings

Evaluation of Mechanical Properties of Hard Coatings Evaluation of Mechanical Properties of Hard Coatings Comprehensive mechanical testing of two coated metal samples was performed on the UNMT- 1. The tests clearly distinguished brittle and ductile samples,

More information

The effect of hydrogen peroxide on polishing removal rate in CMP with various

The effect of hydrogen peroxide on polishing removal rate in CMP with various The effect of hydrogen peroxide on polishing removal rate in CMP with various abrasives R. Manivannan a, S. Ramanathan a,* a Particle Science and Polymer Laboratory Department of Chemical Engineering,

More information

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage:

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage: Wear 270 (2011) 312 316 Contents lists available at ScienceDirect Wear journal homepage: www.elsevier.com/locate/wear Chemical effect on the material removal rate in the CMP of silicon wafers Y.G. Wang,

More information

Mean Residence Time and Removal Rate Studies in ILD CMP

Mean Residence Time and Removal Rate Studies in ILD CMP G402 Journal of The Electrochemical Society, 151 6 G402-G407 2004 0013-4651/2004/151 6 /G402/6/$7.00 The Electrochemical Society, Inc. Mean Residence Time and Removal Rate Studies in ILD CMP Ara Philipossian*,z

More information

Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical Polishing

Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical Polishing Journal of The Electrochemical Society, 149 1 G41-G50 2002 0013-4651/2001/149 1 /G41/10/$7.00 The Electrochemical Society, Inc. G41 Evolution of Copper-Oxide Damascene Structures in Chemical Mechanical

More information

Impact Fatigue Failure Investigation of HVOF Coatings

Impact Fatigue Failure Investigation of HVOF Coatings C. N. David, 1 M. A. Athanasiou, 1 K. G. Anthymidis, 1 and P. K. Gotsis 1 Journal of ASTM International, Vol. 5, No. 6 Paper ID JAI101571 Available online at www.astm.org Impact Fatigue Failure Investigation

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books Genesis of Friction between Macroscale contacts Reference: Chapter 3 of the text books What is friction? F = W s µ varies as a function of the sliding distance. 1 0.6 0.2 0 0 20 40 60 80 Di stan ce slid

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

A Molecular Dynamics Study of Polishing and Grinding. S.J. Eder, U. Cihak-Bayr, D. Bianchi, A. Vernes, G. Betz

A Molecular Dynamics Study of Polishing and Grinding. S.J. Eder, U. Cihak-Bayr, D. Bianchi, A. Vernes, G. Betz K2 centre Tribology A Molecular Dynamics Study of Polishing and Grinding S.J. Eder, U. Cihak-Bayr, D. Bianchi, A. Vernes, G. Betz AC²T research GmbH, Wiener Neustadt, Austria 1/many Austrian Ministry for

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material

Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material Yong-Woo KIM 1, Soo-Chang CHOI 1, Jeung-Woo PARK 2, Deug-Woo LEE 3 1. Department of Nano

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance

Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance Cross-Section of Metal Surface FIGURE 4.1 Schematic illustration of the cross-section of the surface

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

Effect of surface treatments on wear resistance of spring steel wire as a work-piece material of a fishing net-weaving machine component

Effect of surface treatments on wear resistance of spring steel wire as a work-piece material of a fishing net-weaving machine component Indian Journal of Engineering & Materials Sciences Vol. 23, February 2016, pp. 79-87 Effect of surface treatments on wear resistance of spring steel wire as a work-piece material of a fishing net-weaving

More information

Tribology behavior of a lubricant with nano-diamond particles on steel

Tribology behavior of a lubricant with nano-diamond particles on steel Tribology behavior of a lubricant with nano-diamond particles on steel SUNG-WAN HWANG 1, SUNG-CHUL CHANG 1, TE ZHANG 1, HO-KYUNG KIM* 2 1 Dept. of Automotive Engineering, Graduate School, Seoul National

More information

Thin, Smooth Diamond for Breakthrough Solutions

Thin, Smooth Diamond for Breakthrough Solutions Thin. Smooth. Diamond. Thin, Smooth Diamond for Breakthrough Solutions Advanced Diamond Technologies (ADT) harnesses the extreme properties of nature s perfect material by turning natural gas into diamond

More information

Surface roughness of optical quartz substrate by chemical mechanical polishing

Surface roughness of optical quartz substrate by chemical mechanical polishing Vol. 35, No. 11 Journal of Semiconductors November 2014 Surface roughness of optical quartz substrate by chemical mechanical polishing Duan Bo( 段波 ), Zhou Jianwei( 周建伟 ), Liu Yuling( 刘玉岭 ), Sun Mingbin(

More information

Annealing effects on microstructure and mechanical properties of chromium oxide coatings

Annealing effects on microstructure and mechanical properties of chromium oxide coatings Available online at www.sciencedirect.com Thin Solid Films 516 (2008) 4685 4689 www.elsevier.com/locate/tsf Annealing effects on microstructure and mechanical properties of chromium oxide coatings Xiaolu

More information

Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011

Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011 Scratching by Pad Asperities in Chemical Mechanical Polishing by Michael P. Roberts SUBMITTED TO THE DEPARTMENT OF MECHANICAL ENGINEERING IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF BACHELOR

More information

ELECTRIDEPOSITION AND WEAR BEHAVIOR OF NANO-STRUCTURED Cr-WC COMPOSITE COATINGS FROM A TRIVALENT CHROMIUM BATH

ELECTRIDEPOSITION AND WEAR BEHAVIOR OF NANO-STRUCTURED Cr-WC COMPOSITE COATINGS FROM A TRIVALENT CHROMIUM BATH 2nd International Conference on Ultrafine Grained & Nanostructured Materials (UFGNSM) International Journal of Modern Physics: Conference Series Vol. 5 (2012) 737 743 World Scientific Publishing Company

More information

Nanoindentation, Scratch and nanodma : Innovations for Atomic Force Microscopes. Ryan Stromberg

Nanoindentation, Scratch and nanodma : Innovations for Atomic Force Microscopes. Ryan Stromberg Nanoindentation, Scratch and nanodma : Innovations for Atomic Force Microscopes Ryan Stromberg 09-07-2017 2 Outline Hysitron TriboScope Technology Mechanical Testing Indenter Stylus vs. AFM Cantilever

More information

TRIBOLOGICAL PROPERTIES OF COMPOSITE MATERIALS

TRIBOLOGICAL PROPERTIES OF COMPOSITE MATERIALS TRIBOLOGICAL PROPERTIES OF COMPOSITE MATERIALS Assoc. Prof. Minodora PASĂRE, Ph.D. Cătălina IANĂŞI Constantin Brancusi University of Tg-Jiu, Romania minodora_pasare@yahoo.com, ianasi_c@yahoo.com Abstract:

More information

SLIDING WEAR AND FRICTION BEHAVIOR OF FUEL ROD MATERIAL IN WATER AND DRY STATE

SLIDING WEAR AND FRICTION BEHAVIOR OF FUEL ROD MATERIAL IN WATER AND DRY STATE Advanced Materials Development and Performance (AMDP11) International Journal of Modern Physics: Conference Series Vol. (1) 79- World Scientific Publishing Company DOI:.11/S195139 SLIDING WEAR AND FRICTION

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Module 3 Machinability. Version 2 ME IIT, Kharagpur

Module 3 Machinability. Version 2 ME IIT, Kharagpur Module 3 Machinability Lesson 14 Failure of cutting tools and tool life Instructional objectives At the end of this lesson, the students will be able to (i) (ii) (iii) (iv) (v) State how the cutting tools

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Application Note #1007 All-Inclusive Scratch Testing of Coatings and Thin Films Using Bruker's Universal Test System

Application Note #1007 All-Inclusive Scratch Testing of Coatings and Thin Films Using Bruker's Universal Test System Scratch with ECR Scratch with E UMT TriboLab pplication Note #1007 ll-inclusive Scratch Testing of Coatings and Thin Films Using ruker's Universal Test System Coatings and thin films are being used increasingly

More information

WEAR AND BLANKING PERFORMANCE OF AlCrN PVD-COATED PUNCHES

WEAR AND BLANKING PERFORMANCE OF AlCrN PVD-COATED PUNCHES Materials Science, Vol. 48, No. 4, January, 2013 (Ukrainian Original Vol. 48, No. 4, July August, 2012) WEAR AND BLANKING PERFORMANCE OF AlCrN PVD-COATED PUNCHES M. Çöl, 1 D. Kir, 2 and E. Erişir 1,3 Blanking

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Plastic deformation analysis of wear on insert component and die service life in hot forging process

Plastic deformation analysis of wear on insert component and die service life in hot forging process Indian Journal of Engineering & Materials Sciences Vol. 22, December 2015, pp. 686-692 Plastic deformation analysis of wear on insert component and die service life in hot forging process R Rajiev a *

More information

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING Laurent Vésier, Senior Quality Engineer, Rohm and Haas Electronic Materials CMP Technologies, Newark, DE Jason Lawhorn, Product Engineering

More information

Friction and Wear Properties of Copper/Carbon/RB Ceramics Composite Materials under Dry Condition

Friction and Wear Properties of Copper/Carbon/RB Ceramics Composite Materials under Dry Condition Tribology Online, 3, 4 (28) 222-227. ISSN 1881-2198 DOI 1.2474/trol.4.222 riction and Wear Properties of /Carbon/RB Ceramics Composite Materials under Dry Condition Kei Shibata 1)*, Takeshi Yamaguchi 1),

More information

TRIBOLOGICAL PROPERTIES OF SOLID LUBRICANT NANOCOMPOSITE COATINGS OBTAINED BY MAGNETRON SPUTTERED OF MOS 2 /METAL (TI, MO) NANOPARTICLES

TRIBOLOGICAL PROPERTIES OF SOLID LUBRICANT NANOCOMPOSITE COATINGS OBTAINED BY MAGNETRON SPUTTERED OF MOS 2 /METAL (TI, MO) NANOPARTICLES THE PUBLISHING HOUSE PROCEEDINGS OF THE ROMANIAN ACADEMY, Series A, OF THE ROMANIAN ACADEMY Volume 8, Number 3/2007, pp. 000-000 TRIBOLOGICAL PROPERTIES OF SOLID LUBRICANT NANOCOMPOSITE COATINGS OBTAINED

More information

Effect of Normal Load and Sliding Velocity on Friction Coefficient of Aluminum Sliding Against Different Pin Materials

Effect of Normal Load and Sliding Velocity on Friction Coefficient of Aluminum Sliding Against Different Pin Materials American Journal of Materials Science 2012, 2(1): 26-31 DOI: 10.5923/j.materials.20120201.05 Effect of Normal Load and Sliding Velocity on Friction Coefficient of Aluminum Sliding Against Different Pin

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Prediction of Removal Rates in Chemical Mechanical Polishing (CMP) Using Tribocorrosion Modeling

Prediction of Removal Rates in Chemical Mechanical Polishing (CMP) Using Tribocorrosion Modeling J Bio Tribo Corros (2016) 2:8 DOI 10.1007/s40735-016-0041-4 Prediction of Removal Rates in Chemical Mechanical Polishing (CMP) Using Tribocorrosion Modeling J. Stojadinović 1 D. Bouvet 2 S. Mischler 1

More information

Ion channeling effects on the focused ion beam milling of Cu

Ion channeling effects on the focused ion beam milling of Cu Ion channeling effects on the focused ion beam milling of Cu B. W. Kempshall a) and S. M. Schwarz Department of Mechanical, Materials, and Aerospace Engineering, University of Central Florida, P.O. Box

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

Evaluation of the Surface of Nitinol after MR Polishing Process

Evaluation of the Surface of Nitinol after MR Polishing Process Journal of Applied Mathematics and Physics, 2015, 3, 208-217 Published Online February 2015 in SciRes. http://www.scirp.org/journal/jamp http://dx.doi.org/10.4236/jamp.2015.32031 Evaluation of the Surface

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 212 217 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

THE EFFECT OF SOL-GEL TECHNIQUE ON THE ALUMINIUM SiCp COMPOSITE

THE EFFECT OF SOL-GEL TECHNIQUE ON THE ALUMINIUM SiCp COMPOSITE Jurnal Mekanikal June 2005, No. 19, 11 21 THE EFFECT OF SOL-GEL TECHNIQUE ON THE ALUMINIUM SiCp COMPOSITE Jamaliah Idris [1] and N.J. Nee [2] [1] Assoc. Prof. [2] Undergraduate student Faculty of Mechanical

More information

Cutting Tool Materials and Cutting Fluids. Dr. Mohammad Abuhaiba

Cutting Tool Materials and Cutting Fluids. Dr. Mohammad Abuhaiba Cutting Tool Materials and Cutting Fluids HomeWork #2 22.37 obtain data on the thermal properties of various commonly used cutting fluids. Identify those which are basically effective coolants and those

More information

Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid Wang Liang-Yong( ) a)c), Liu Bo( ) a), Song Zhi-Tang( ) a), Liu Wei-Li( ) a), Feng Song-Lin(

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Saint-Gobain: Analysis of Orientation, Bond Thickness, and Bond Force of a Single Layer Cubic Boron Nitride Grinding Grain

Saint-Gobain: Analysis of Orientation, Bond Thickness, and Bond Force of a Single Layer Cubic Boron Nitride Grinding Grain Saint-Gobain: Analysis of Orientation, Bond Thickness, and Bond Force of a Single Layer Cubic Boron Nitride Grinding Grain A Major Qualifying Project Report: Submitted to the Faculty of WORCESTER POLYTECHNIC

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer S. Noyel Victoria a, Josiah Jebaraj Johnley Muthuraj b, Ian Ivar Suni b,c,* and S. Ramanathan a,*,z a Department of Chemical Engineering,

More information

ÓASM International DOI: /s /$19.00

ÓASM International DOI: /s /$19.00 JMEPEG (2014) 23:1263 1269 ÓASM International DOI: 10.1007/s11665-014-0904-0 1059-9495/$19.00 TiN-Coating Effects on Stainless Steel Tribological Behavior Under Dry and Lubricated Conditions Liqiang Zhang,

More information

Nontraditional Machining Processes

Nontraditional Machining Processes Nontraditional Machining Processes The NTM processes can be divided into four basic categories: I. Chemical (Chemical reaction), II. Electrochemical (Electrolytic dissolution), III. Mechanical (Multipoint

More information

Grain Sizes and Surface Roughness in Platinum and Gold Thin Films. L.L. Melo, A. R. Vaz, M.C. Salvadori, M. Cattani

Grain Sizes and Surface Roughness in Platinum and Gold Thin Films. L.L. Melo, A. R. Vaz, M.C. Salvadori, M. Cattani Journal of Metastable and Nanocrystalline Materials Vols. 20-21 (2004) pp. 623-628 online at http://www.scientific.net 2004 Trans Tech Publications, Switzerland Grain Sizes and Surface Roughness in Platinum

More information

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP A Thesis Presented to The Academic Faculty By Andrés Osorno In Partial Fulfillment Of the Requirements for the Degree Master of Science in Mechanical Engineering

More information

Anglon Tools Tools for ANGL ROTARY HANDPC Soft rubber pads available in 4 different diameters (ø 0 mm, ø mm, ø 30 mm, ø mm) are available. Pressure se

Anglon Tools Tools for ANGL ROTARY HANDPC Soft rubber pads available in 4 different diameters (ø 0 mm, ø mm, ø 30 mm, ø mm) are available. Pressure se inimo One Anglon Tools inimo Anglon System -- Versatility & Performance inimo Anglon angle grinders, with angles of 90 and degrees, are suitable for polishing flat, curved and end surfaces. Great for areas

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Full Nanomechanical Characterization of Ultra-Thin Films

Full Nanomechanical Characterization of Ultra-Thin Films APPLICATION NOTE By: Jeffrey Schirer and Julia Nowak, Ph.D. Hysitron, Inc. Eiji Kusano and Mune-aki Sakamoto Department of Chemistry, Kanazawa Institute of Technology, Japan Full Nanomechanical Characterization

More information

Polymer Composites Filled with RB Ceramics Particles as Low Friction and High Wear Resistant Filler

Polymer Composites Filled with RB Ceramics Particles as Low Friction and High Wear Resistant Filler Tribology Online, 5, 1 (21) 19-26. ISSN 1881-2198 DOI 1.2474/trol.5.19 Article Polymer Composites Filled with RB Ceramics Particles as Low Friction and High Wear Resistant Filler Motoharu Akiyama 1), Takeshi

More information

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Maskless Nanowriter HR001-06-03-0008 Post- CMP Defectivity is one of the major factors affecting its

More information

11.3 Polishing with Laser Radiation

11.3 Polishing with Laser Radiation 196 E. Willenborg 11.3 Polishing with Laser Radiation Edgar Willenborg The surface roughness of a part or product strongly influences its properties and functions. Among these can be counted abrasion and

More information

Chapter 15 Extrusion and Drawing of Metals

Chapter 15 Extrusion and Drawing of Metals Introduction Chapter 15 Extrusion and Drawing of Metals Alexandra Schönning, Ph.D. Mechanical Engineering University of North Florida Figures by Manufacturing Engineering and Technology Kalpakijan and

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

Deterministic Microgrinding, Lapping, and Polishing of Glass-Ceramics

Deterministic Microgrinding, Lapping, and Polishing of Glass-Ceramics Deterministic Microgrinding, Lapping, and Polishing of Glass-Ceramics Introduction Glass-ceramics are attractive structural materials due to their good mechanical properties, chemical stability at higher

More information

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc.

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc. Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes Daniel Stillman, Daniel Fresquez Texas Instruments Inc. Outline Probe Optimization Why is it needed? Objective and obstacles

More information

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA Materials Transactions, Vol. 49, No. 9 (2008) pp. 2100 to 2106 #2008 The Japan Institute of Metals Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

More information

A Novel Method for Preparation of High Strength Hollow Spherical. Silicon Dioxide By Plasma Technology

A Novel Method for Preparation of High Strength Hollow Spherical. Silicon Dioxide By Plasma Technology A Novel Method for Preparation of High Strength Hollow Spherical Silicon Dioxide By Plasma Technology Junmei Fan, Chen Lu, Fangli Yuan, Peichen Zhao State Key Laboratory of Multi-phase Complex System,

More information

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Materials Transactions, Vol. 44, No. 3 (2003) pp. 389 to 395 #2003 The Japan Institute of Metals An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Rongguang

More information

CHAPTER 4 APPLICATION OF SEMISOLID LUBRICANTS FOR IMPROVING RAKE FACE LUBRICATION 4.1 INTRODUCTION During minimal fluid application, since only a

CHAPTER 4 APPLICATION OF SEMISOLID LUBRICANTS FOR IMPROVING RAKE FACE LUBRICATION 4.1 INTRODUCTION During minimal fluid application, since only a CHAPTER 4 APPLICATION OF SEMISOLID LUBRICANTS FOR IMPROVING RAKE FACE LUBRICATION 4.1 INTRODUCTION During minimal fluid application, since only a very small quantity of cutting fluid is used for the dual

More information

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and

More information

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES Fei Peng 1, Ernest Long 1, Jim Watkowski 1, Kesheng Feng 1, Naomi Ando 2, Kazuhiro Inazu 2 1 MacDermid, 227 Freight St,

More information

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects UWE SCHEITHAUER SIEMENS AG, CT MM 7, Otto-Hahn-Ring 6, 81739 München, Germany Phone: + 49 89 636 44143 E-mail: uwe.scheithauer@siemens.com

More information

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and education use, including for instruction at the authors institution

More information

Experimental O 3. Results and discussion

Experimental O 3. Results and discussion Introduction Surface coatings to protect against oxidation extend the service temperature and the service life of basic metals. The commercially used coating systems can be divided into three main groups:

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish 1984 Journal of The Electrochemical Society, 146 (5) 1984-1990 (1999) Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish Chi-Fa Lin, a,

More information

Examination of tribological properties of oxide-polymer and carbide-polymer coatings formed by flame, plasma and HVOF spray processes

Examination of tribological properties of oxide-polymer and carbide-polymer coatings formed by flame, plasma and HVOF spray processes Examination of tribological properties of oxide-polymer and carbide-polymer coatings formed by flame, plasma and HVOF spray processes R. Samur 1, H. Demirer 2 1 Department of Metallurgy, Faculty of Technical

More information

Embedded Planar Power Inductor in an Organic Interposer for Package-Level DC Power Grid

Embedded Planar Power Inductor in an Organic Interposer for Package-Level DC Power Grid Embedded Planar Power Inductor in an Organic Interposer for Package-Level DC Power Grid Yuichiro Yazaki 1, Kazuma Ishidate 1, Kazuhiro Hagita 1, Yuta Kondo 1, Saki Hattori 1, Makoto Sonehara 1, Toshiro

More information

CORROSION PROTECTION OF MMCs BY DIAMOND-LIKE CARBON COATINGS

CORROSION PROTECTION OF MMCs BY DIAMOND-LIKE CARBON COATINGS CORROSION PROTECTION OF MMCs BY DIAMOND-LIKE CARBON COATINGS B. Wielage, A. Dorner Institute for Composites and Surface Technology, TU Chemnitz, D-09107 Chemnitz, Germany SUMMARY: Carbon fibre reinforced

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

EFFECT OF NANOPARTICLES ON THIN-FILM COMPOSITE MEMBRANE SURFACE MORPHOLOGY AND PRODUCTIVITY. Abstract. Background and Applicable Literature

EFFECT OF NANOPARTICLES ON THIN-FILM COMPOSITE MEMBRANE SURFACE MORPHOLOGY AND PRODUCTIVITY. Abstract. Background and Applicable Literature EFFECT OF NANOPARTICLES ON THIN-FILM COMPOSITE MEMBRANE SURFACE MORPHOLOGY AND PRODUCTIVITY Steven J. Duranceau, Ph.D., P.E., University of Central Florida, 4000 Central Florida Blvd., POB 62450, Eng.2-Suite

More information

Influence of TiC on the Viscosity of CaO MgO Al 2 O 3 SiO 2 TiC Suspension System

Influence of TiC on the Viscosity of CaO MgO Al 2 O 3 SiO 2 TiC Suspension System , pp. 922 927 Influence of TiC on the Viscosity of CaO MgO Al 2 O 3 SiO 2 TiC Suspension System Guo-Hua ZHANG, 1,2) * Yu-Lan ZHEN 1,2) and Kuo-Chih CHOU 1,2) 1) State Key Laboratory of Advanced Metallurgy,

More information

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Test Flow for Advanced Packages (2.5D/SLIM/3D) 1 Test Flow for Advanced Packages (2.5D/SLIM/3D) Gerard John Amkor Technology Inc. Gerard.John@amkor.com 2045 East Innovation Circle, Tempe, AZ 85284, USA Phone: (480) 821-5000 ADVANCED PACKAGE TEST FLOW

More information

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article Available online www.jocpr.com Journal of Chemical and Pharmaceutical Research, 2017, 9(1):163-167 Research Article ISSN : 0975-7384 CODEN(USA) : JCPRC5 Synthesis and Characterization of Carbon Nano Spheres

More information

The most important parameters determining the performance of a cutting blade are:

The most important parameters determining the performance of a cutting blade are: Diamond blades exceptionally wear resistant and extremely sharp P. Gluche 1, S. Strobel 1, H.-J. Fecht 2 1 GFD Gesellschaft für Diamantprodukte mbh, Lise-Meitner-Str. 13, 89081 Ulm, Germany 2 University

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Development of Observation Method for Tempered Martensite Microstructure Using Chemical Mechanical Polishing Technique*

Development of Observation Method for Tempered Martensite Microstructure Using Chemical Mechanical Polishing Technique* Materials Transactions, Vol. 46, No. 11 (2005) pp. 2443 to 2448 #2005 The Japan Institute of Metals Development of Observation Method for Tempered Martensite Microstructure Using Chemical Mechanical Polishing

More information