High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates**

Size: px
Start display at page:

Download "High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates**"

Transcription

1 COMMUNICATION DOI: /adma High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates** By Se Hyun Ahn and L. Jay Guo* The ability of micro- to nanometer-scale patterning on flexible substrates can enable many new applications in the area of photonics and organic electronics. A major roadblock has remained for many practical applications of patterned nanostructures, which is the throughput of nanopattern replication and the associated cost issues. Among the emerging techniques, nanoimprint lithography (NIL) clearly stands out as a promising technology for high-throughput and highresolution nanometer-scale patterning, [1,2] which can achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in other traditional techniques. Developments in this area have enjoyed great momentum in the past decade and numerous applications, such as in Si electronics, [3,4] organic electronics and photonics, [5,6] magnetics, [7,8] and biology [9 12] have been exploited by many researchers. On the other hand, the current process and throughput in NIL (on the order of a few minutes per wafer) is still far from meeting the demands of many practical applications, especially in photonics, biotechnology, and organic optoelectronics. The concept of roller imprinting has been pursued by previous investigators as a means to improve speed. [13] However, the procedure was to imprint a small piece of Si mold onto a Si substrate, which is not too different from that of conventional NIL except that a rod is used to apply pressure rather than a flat plate. The reverse nanoimprinting [14] or nanotransfer printing methods [15] produce positive-tone polymer or metal patterns, which in principle can also be applied to roll-to-roll printing processes. In addition, Lee et al. proposed a bilayer transfer process from a rigiflex mold to a Si wafer, [16] and pointed out that the process can potentially be extended to a roller bilayer transfer process. However, these are yet to be demonstrated. [*] Prof. L. J. Guo Department of Electrical Engineering and Computer Science University of Michigan Ann Arbor, MI (USA) guo@eecs.umich.edu S. H. Ahn Department of Mechanical Engineering University of Michigan Ann Arbor, MI (USA) [**] This research is supported by NSF (grant No. CMII ), AFOSR (grant No. F ), and the University of Michigan Technology Transfer Office (GAP fund). We thank Dr. Jin-Sung Kim for providing the short-period Si mold used in this study. The motivation of this work is to enable continuous printing of nanostructures on a flexible web with drastically increased throughput, and thereby push the nanometer-scale lithography to an entirely new level. The roll-to-roll nanoimprint lithography (R2RNIL) technology presented in this Communication inherits its high-resolution feature from traditional NIL because it is also based on a mechanical embossing approach, but with a speed of nanopatterning increased by at least one or two orders of magnitude.the R2RNIL process primarily targets large-area patterning of nanostructures. In the conventional approach, embossing a large area requires a very large force. Huge contact areas between the mold surface and the imprinted nanostructures also produce significant adhesion force, making the mold sample separation without damaging the substrates difficult or even impossible. In thermal NIL, if the mold and substrate are made from materials with different thermal expansion coefficients, such as Si mold and polymer substrate, stress can build up during a thermal cycle of such a magnitude that it can even destroy the Si mold during mold releasing. R2RNIL provides a unique solution to these challenges encountered in the conventional wafer-scale NIL process, because imprinting in R2RNIL proceeds in a narrow region transverse to the web moving direction, thus requiring a much smaller force to replicate the patterns. Also, because the mold used in R2RNIL is in the form of a roller, the mold sample separation proceeds in a peeling fashion, which requires much less force and reduces the probability of defect generation. True roll-to-roll nanoimprinting has been a challenge to the community because it requires a complete set of solutions to address a number of interrelated material issues. First of all, a special roller mold is required for continuous roll-to-roll imprinting of nanostructures. Molds used in R2RNIL should be flexible enough to wrap onto a roller surface, and they should also have sufficient modulus and strength to imprint other materials. A low surface energy is essential for clean mold release. In addition, the roller mold should be durable under continuous imprinting. In this study, a flexible fluoropolymer, ethylene-tetrafluoroethylene (ETFE), is used as mold material. ETFE has a high modulus (ca. 1.2 GPa) at room temperature but can be softened at elevated temperatures. Therefore, an ETFE mold can be easily replicated (Fig. 1a) from an original Si mold by a thermal NIL process at 220 8C, [3] while the original large-area Si mold can be fabricated by laser interference lithography. [17,18] Moreover, the exceptional antisticking properties of ETFE (surface 2044 ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2008, 20,

2 Figure 1. a) A flexible ETFE mold fabricated from an original Si mold. The ETFE mold assembly is prepared as shown in (b) and attached to a 60 mm diameter stainless-steel roller. A soft cushion layer is placed between the ETFE mold assembly and the roller surface for conformal contact during imprinting. energy of 15.6 dyn cm 1, cf. poly(dimethylsiloxane) (PDMS) ca dyn cm 1 ) make it easy to demold after imprinting without any mold surface treatment and without deterioration in surface properties over long imprinting cycles. In our experiment, several pieces of ETFE molds of proper size were replicated, wrapped, and fixed to a 60 mm diameter stainless-steel roller. For a fast roll-to-roll process, there are several stringent requirements for the resist materials. First, liquid resists having good coating properties are preferred because they can be continuously and uniformly coated onto plastic substrates and be easily imprinted with low pressure. Second, such liquid resists should have low viscosity before curing for fast imprinting. Third, resists used in R2RNIL need to be quickly cured and with minimum shrinkage after curing. Therefore, conventional resist materials dissolved in solvents and requiring additional baking processes are not suitable for the R2RNIL process. Thermoplastic materials that are typically used in conventional NIL are also not adequate for R2RNIL because they require very high pressures and relatively long processing times to complete the imprinting. In our experiment, two types of liquid resist were exploited for R2RNIL application. For thermal R2RNIL, a fast, thermal-curable liquid resist [19] based on a modified PDMS was used. The resist consists of four basic chemical components: a vinyl terminated polydimethylsiloxane polymer, a silyl-hydride (Si-H) based dimethylsiloxane crosslinker, a platinum catalyst, and an inhibitor. The inhibitor is an unsaturated organic ester that keeps the catalyst inactive until the application of heat, which quickly deactivates the inhibitor and releases the catalyst in its active form. The low-viscosity liquid resist can quickly fill into the cavity features on the mold surface by the web tension and the pressure from the backup rollers. The material can be crosslinked within a few seconds at a temperature of 120 8C because of the rapid deactivation of the inhibitor. The fast crosslinking ensures high-speed patterning required for R2RNIL For even higher-speed R2RNIL, we used a UV-curable low viscosity liquid epoxysilicone [20] as imprint resist material. Different from acrylate-based resists often used in UV-assisted NIL process such as step-and flash imprint lithography (S-FIL), [21] epoxysilicone is cured via a cationic curing mechanism, thereby free from the oxygen sensitivity issue when exposed in air. Thus no special vacuum environment is Figure 2. a) Schematic of the R2RNIL process, and the continuous fabrication of a metal wire-grid polarizer as one of its applications (the metal deposition is carried out in a separate evaporator in this study). b) The coating unit and c) the Imprint unit of the R2RNIL apparatus. COMMUNICATION Adv. Mater. 2008, 20, ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

3 COMMUNICATION required, which is convenient for the roll-to-roll process. Furthermore, its very low shrinkage after curing (only a fraction of the acrylate system) allows a faithful pattern replication. Owing to its low viscosity, the resist precursor can be imprinted at low pressures and cured within a second by focused UV light. The low pressure and room temperature imprinting characteristics are advantageous for R2RNIL. The resist film uniformity on the flexible web and the resist thickness are important from both pattern quality and economic point of view. Too thin a film may cause insufficient filling of resist into certain mold regions or cause film fracture during mold releasing. Too thick a resist not only results in accumulation of resist from successive rolling cycles that hampers further imprinting, but also squeezes out excess materials resulting in resist waste. In our experiment, two types of coating methods, reverse and forward web coating, are used. Reverse coating, in which the web direction is opposite to the rolling direction, provides a uniform coating profile by eliminating film separation. However, such coating tends to be rather thick. Forward coating provides a thinner film layer but a slightly lower surface uniformity. In our R2RNIL system, we can easily select either forward or reverse coating (Fig. 2b), and adjust the speed ratio of the moving web and the coating roller that determines the film thickness. Liquid resist is transferred from a resist-container to the flexible PET substrate by the coating roller and its final thickness is controlled by a doctor blade. The imprint section is the most important part in the R2RNIL system, because pattern quality mostly depends on the pressure of the imprint roller and curing conditions. Our imprint module is composed of an imprint roller, two backup rollers, a release roller, and a curing section (Fig. 2c). Under the web tension and the pressure from the backup roller, the low-viscosity monomer resist quickly fills into mold cavity. Moreover, the two backup rollers with a spring system also guarantee non-slip motion in the rolling process, which is very important for successful pattern replication. In the next step, the resist precursor is cured either by convection heating (Steinel heat gun) or UV irradiation (Omnicure1000 highpower UV source, EXFO). A box-shaped radiation shield is used to limit the UV exposure only in the curing region, preventing premature curing of the resist before reaching the imprinting zone. Finally, a poly(ethylene terephthalate) (PET) substrate with roller-imprinted nanostructures continuously separates from the roller mold via the release roller. For easy visualization of the imprinting results, we chose a grating pattern of 700 nm period in our initial experiment, because a well-replicated grating structure should show strong light diffraction and therefore the pattern quality can be easily examined by the eye. We first performed continuous nanoimprinting on a flexible plastic substrate by using a thermally curable liquid resist. A 200 mm long, 300 nm linewidth, and 700 nm period grating imprinted by using the thermally cured PDMS on a PET substrate is shown in Figure 3a and b. Curing of PDMS liquid resist was done by convection heating using a heat gun. Next, we experimented with UV-curable epoxysilicone liquid resist. Figure 3c e shows UV R2RNIL results of a 570 mm long (width 10 mm), 700 nm period grating structure created on PET substrate. Scanning electron microscopy (SEM) shows that the UV-cured Figure 3. Thermal R2RNIL results: a) Photograph of a 700 nm period, 300 nm line width PDMS grating pattern imprinted on PET strip by using the thermal R2RNIL and b) the SEM micrograph of the replicated grating structure. UV R2RNIL results: c,d) Photographs of 700 nm period, 300 nm line width epoxysilicone grating pattern imprinted on PET strip by the UV R2RNIL, showing bright light diffraction, and e) SEM image of the replicated grating structure. Total length is 570 mm ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2008, 20,

4 Figure 4. a) The original Si mold. b,c) Epoxysilicone gratings replicated from the ETFE mold. d,e) SEM pictures of 200 nm period, 70 nm line width epoxysilicone pattern, and f) a 100 nm period, 70 nm line width epoxysilicone pattern fabricated by UV R2RNIL. epoxysilicone resist pattern has a higher quality than the thermally cured PDMS, which can be attributed to the lower viscosity of the epoxysilicone material that facilitates the fast filling of the mold cavity. The printing speed can be adjusted depending on the period of the grating pattern and its aspect ratio. The fast curing of the resist material allowed us to achieve a web speed of ca. 1 m min 1. High-aspect-ratio (AR ¼ 5.4:1) grating structures with very sharp pattern definition fabricated by R2RNIL are shown in Figure 4b and c. Faithfully replicated epoxysilicone pattern should have the same geometry as in the original Si mold (Fig. 4a) because the ETFE mold, replicated from the Si mold, has the exact inverse patterns of the Si mold. Comparing the grating structure on the original Si mold (Fig. 4a) and the imprinted epoxysilicone pattern (Fig. 4c), we observe excellent pattern replication even for the very fine details at the bottom of the grating trenches. The residual layer thickness in this result is about 2 mm, but can be controlled through the web tension and backup roller pressure. Even though the ETFE mold has good antisticking properties, such a high-aspect-ratio imprinted structure tends to show significant sticking to the ETFE mold owing to the much larger contact area with the grating sidewalls on the mold. To achieve successful pattern transfer, we performed oxygen plasma treatment followed by thermal deposition of Silquest A187 adhesion promoter (GE Advance Materials) on the PET substrate before imprinting, which improves the adhesion of the resist pattern to the PET substrate. In addition, a few drops of fluorosurfactant were added to the epoxysilicone resist to further reduce the adhesion between the imprinted pattern and the ETFE mold surface. Continuous roll-to-roll imprinting of thinner and denser grating structures is more challenging because such patterns are mechanically fragile, and tend to collapse during demolding if the trench is very small. This requires the cured resist to have sufficient modulus and yield strength. Good adhesion of the resist to the substrate is also very important for such denser structures, which was achieved by using the aforementioned adhesion promoter. Figure 4d and e show 200 nm period, 70 nm linewidth epoxysilicone patterns by the UV R2RNIL process. A SEM image of 100 nm period grating structure is also replicated successfully and shown in Figure 4f. To demonstrate an application of the R2RNIL process, we fabricated a metal wire-grid polarizer. By depositing a thin metal (Al) layer over the imprinted grating structures, a high-efficiency polarizer in the form of bilayer metal wire grating can be achieved. [22,23] In our initial experiment, a 200 nm and 100 nm period grating pattern (Fig. 4d f) were prepared by the R2RNIL process and various thicknesses of aluminum were thermally deposited on top of the grating as well as at the bottom of the trench (Fig. 5a and b). To quantify the polarization effect, the spectral transmittance was measured using UV-vis spectrometry. Figure 5c shows the transmittance of the TM, TE polarized light through the fabricated metal wire-grid polarizer. The best polarizer result was obtained from the 100 nm period grating with a 50 nm Al layer, with a transmittance of ca. 30% at 800 nm wavelength, an extinction ratio (transmittance of TM/transmittance of TE) over 2000 at nm wavelength, and a 2500 maximum extinction ratio at 770 nm. We would like to point out that in these preliminary experiments, many parameters such as grating period, line width, and metal thickness have not yet been optimized. COMMUNICATION Adv. Mater. 2008, 20, ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

5 COMMUNICATION Figure 6. Schematic of UV curing test of epoxysilicone. UV curable epoxysilicone resist is sandwiched by two sheets of PET film, as in the actual R2RNIL process. H is the distance between the light source and the sample, and D is the diameter of fully solidified area after curing. Figure 5. a) Schematic of the metal wire-grid polarizer by depositing metal on top of the roller imprinted polymer grating. b) SEM image of a 200 nm period grating with 50 nm Al on top. c) Spectral transmittance (TM, TE mode) and extinction ratio (TM/TE) of a metal wire-grid polarizer fabricated by R2RNIL. In summary, we have demonstrated a true R2RNIL process in which polymer patterns down to 70 nm feature size were continuously imprinted on a flexible web. This result represents a drastic increase in the process throughput, which addresses one of the bottlenecks in nanopatterning for many practical applications. Experimental Fabrication of Si Mold: SiO 2 of 200 nm thickness was thermally grown on a Si wafer, and then chrome (Cr) of 10 nm thickness was deposited onto the SiO 2 layer by using a radiofrequency (RF) sputter. The Cr layer was used for the improvement of adhesion properties between the photoresist (PR) and the SiO 2 layer as well as for an etch mask to the SiO 2 layer. PR of 90 nm thickness was spin-coated onto the Cr layer, and laser interference lithography using a 325 nm wavelength He-Cd laser was performed to form a grating pattern of 200 nm pitch on the PR. The Cr layer was etched with Cl 2 and O 2 RIE, and the SiO 2 layer with CHF 3 RIE. After etching the SiO 2, the Cr mask was removed by Cr wet etchant. The fabricated stamp was pretreated by an antisticking layer (1H,1H,2H,2H-Perfluorodecyl trichlorosilane) to prevent the stamp from adhering to the imprint pattern for the demolding step. Fabrication of ETFE Mold: A 200 mm thick ETFE film (SAINT- GOBAIN) was sandwiched between a Si mold containing grating structures and a Si substrate, and pressed by a pressure machine with a temperature controller. A temperature of 220 8C and an imprint pressure of 2 MPa were used. After 5 min hot-embossing, the sample was cooled to ambient temperature while keeping the pressure at 2 MPa. Then, the ETFE film was released from the Si mold by manual peeling. Surface Treatment of PET Substrate: To improve the adhesion of the PET substrate with the resist pattern, especially for the high-aspect-ratio (>5) or small-pitch (200 nm/100 nm period) grating structures, the PET substrate was pretreated by Oxygen plasma (100 W, 250 mtorr (1 Torr ¼ Pa), 10 min) followed by thermal deposition of adhesion promoter, Silquest187 (GE Advance Materials, 100 8C, 15 min). Roll-to-Roll Imprint: A flexible ETFE mold was wrapped on a stainless steel imprint roller (diameter ¼ 60 mm, width ¼ 10 mm) using double-sided adhesion tape. A 1 mm thick rubber cushion layer was placed between the roller and the ETFE mold to ensure adequate conformal contact. Liquid resist material was continuously coated on the flexible PET substrate in the coating module. The amount of resist material was adjusted by the doctor blade fixed in the two-dof (linear, tilting) stage. The PDMS resist precursor was cured by convention heating (hot-air gun, Steinel) and epoxysilicone resist by UV exposure (7.2 W cm 2, EXFO Inc.). For the UV curing, the light intensity depended on the opening ratio of iris and the distance from the source. Table 1. Diameter of the fully solidified area after curing (D)of epoxysilicone as a function of the curing time (t), the iris opening ratio, and the distance from UV light source (H). Opening Ratio[a] H[b] t ¼ 1s t ¼ 0.5 s t ¼ 0.2 s 90% 15 mm D ¼ 20 mm D ¼ 15 mm D ¼ 15 mm 5mm D ¼ 12 mm D ¼ 10 mm D ¼ 10 mm 40% 15 mm D ¼ 15 mm D ¼ 15 mm D ¼ 12 mm 5mm D ¼ 10 mm D ¼ 10 mm D ¼ 10 mm [a][a]iris opening ratio. [b][b]distance between UV source and sample ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Mater. 2008, 20,

6 To determine the curing time and the cured area in relation to the iris opening ratio and distance from UV source, an epoxysilicone resist (10% crosslinker formulation) [20] sandwiched by two PET films was cured by UV irradiation (Fig. 6). The test results are shown in Table 1. When the gap was 5 mm, 10 mm diameter area of epoxysilicone was cured within 0.2 s for both 90% and 40% opening. In the actual R2RNIL process, the resist was cured by the UV light source placed 15 mm away and at 40% opening. The web speed ( mm s 1 ) was controlled by an ac motor controller. Al Deposition for the Wire-Grid Polarizer: Al film of various thicknesses (50 nm, 70 nm, 100 nm) was thermally deposited on the grating structures in a separate evaporator. Received: October 23, 2007 Published online: April 24, 2008 [1] S. Y. Chou, P. R. Krauss, P. J. Renstrom, Appl. Phys. Lett. 1995, 67, [2] S. Y. Chou, P. R. Krauss, P. J. Renstrom, Science 1996, 272, 85. [3] L. J. Guo, Adv. Mater. 2007, 19, 495. [4] W. Zhang, S. Y. Chou, Appl. Phys. Lett. 2003, 83, [5] D. Pisignano, L. Persano, M. F. Raganato, P. Visconti, R. Cingolani, G. Barbarella, L. Favaretto, G. Gigli, Adv. Mater. 2004, 16, 525. [6] M.-S. Kim, J.-S. Kim, J. Cho, M. Stein, L. J. Guo, J. Kim, Appl. Phys. Lett. 2007, 90, [7] W. Wu, B. Cui, X. Sun, W. Zhang, L. Zhuang, L. Kong, S. Y. Chou, J. Vac. Sci. Technol. B 1998, 16, [8] J. I. Martin, J. Nogues, K. Liu, J. L. Vicent, I. K. Schuller, J. Magn. Magn. Mater. 2003, 256, 449. [9] H. Cao, Z. N. Yu, J. Wang, J. O. Tegenfeldt, R. H. Austin, E. Chen, W. Wu, S. Y. Chou, Appl. Phys. Lett. 2002, 81, 174. [10] L. J. Guo, X. Cheng, C. F. Chou, Nano Lett. 2004, 4, 69. [11] D. Falconnet, D. Pasqui, S. Park, R. Eckert, H. Schift, J. Gobrecht, R. Barbucci, M. Textor, Nano Lett. 2004, 4, [12] J. D. Hoff, L. J. Cheng, E. Meyhofer, L. J. Guo, A. J. Hunt, Nano Lett. 2004, 4, 853. [13] H. Tan, A. Gilbertson, S. Y. Chou, J. Vac. Sci. Technol. B 1998, 16, [14] L.-R. Bao, X. Cheng, X. D. Huang, L. J. Guo, S. W. Pang, A. F. Yee, J. Vac. Sci. Technol. B 2002, 20, [15] J. Zaumseil, M. A. Meitl, J. W. P. Hsu, B. R. Acharya, K. W. Baldwin, Y.-L. Loo, J. A. Rogers, Nano Lett. 2003, 3, [16] D. Suh, S.-J. Choi, H. H. Lee, Adv. Mater. 2005, 17, [17] G. B. Onoa, T. B. O Reilly, M. E. Walsh, H. I. Smith, Nanotechnol. 2005, 12, [18] S. Ahn, K. Lee, J. Kim, S. H. Kim, J. Park, S. H. Lee, P. Yoon, Microelectron. Eng. 2005, 78 79, 314. [19] C. P.-Hernandez, J.-S. Kim, L. J. Guo, P.-F. Fu, Adv. Mater. 2007, 19, [20] X. Cheng, L. J. Guo, P.-F. Fu, Adv. Mater. 2005, 17, [21] M. Colburn, S. C. Johnson, M. D. Stewart, S. Damle, T. C. Bailey, B. Choi, M. Wedlake, T. B. Michaelson, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson, Proc. SPIE-Int. Soc. Opt. Eng. 1999, 3676, 379. [22] Y. Ekinci, H. H. Solak, C. David, H. Sigg, Opt. Express 2006,14, [23] S. H. Ahn, J.-S. Kim, L. J. Guo, J. Vac. Sci. Technol. B 2007, 25, COMMUNICATION Adv. Mater. 2008, 20, ß 2008 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss SCIENCE CHINA Technological Sciences RESEARCH PAPER March 2012 Vol.55 No.3: 600 605 doi: 10.1007/s11431-011-4695-3 Replication of large area nanoimprint stamp with small critical dimension loss MENG FanTao

More information

HIGH-THROUGHPUT, CONTINUOUS NANOPATTERNING TECHNOLOGIES FOR DISPLAY AND ENERGY APPLICATIONS

HIGH-THROUGHPUT, CONTINUOUS NANOPATTERNING TECHNOLOGIES FOR DISPLAY AND ENERGY APPLICATIONS HIGH-THROUGHPUT, CONTINUOUS NANOPATTERNING TECHNOLOGIES FOR DISPLAY AND ENERGY APPLICATIONS by Se Hyun Ahn A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of

More information

Three-dimensional SU-8 structures by reversal UV imprint

Three-dimensional SU-8 structures by reversal UV imprint Three-dimensional SU-8 structures by reversal UV imprint W. Hu, a B. Yang, C. Peng, and S. W. Pang b Solid State Electronics Laboratory, Department of Electrical Engineering and Computer Science, The University

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

A Novel Extrusion Microns Embossing Method of Polymer Film

A Novel Extrusion Microns Embossing Method of Polymer Film Modern Mechanical Engineering, 2012, 2, 35-40 http://dx.doi.org/10.4236/mme.2012.22005 Published Online May 2012 (http://www.scirp.org/journal/mme) A Novel Extrusion Microns Embossing Method of Polymer

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing

Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing Appl. Phys. A 81, 1331 1335 (2005) DOI: 10.1007/s00339-005-3313-2 g.y. jung 1 w. wu 1 s. ganapathiappan 1 d.a.a. ohlberg 1 m. saif islam 2 x. li 1 d.l. olynick 3 h. lee 4 y. chen 5 s.y. wang 1 w.m. tong

More information

Study of the resist deformation in nanoimprint lithography

Study of the resist deformation in nanoimprint lithography Study of the resist deformation in nanoimprint lithography Yoshihiko Hirai, a) Masaki Fujiwara, Takahiro Okuno, and Yoshio Tanaka Department of Mechanical System Engineering, Graduate School of Engineering,

More information

Step and Flash Imprint Lithography for sub-100nm Patterning

Step and Flash Imprint Lithography for sub-100nm Patterning Step and Flash Imprint Lithography for sub-100nm Patterning Matthew Colburn, Annette Grot, Marie Amistoso, Byung Jin Choi, Todd Bailey, John Ekerdt, S.V. Sreenivasan, James Hollenhorst, C. Grant Willson

More information

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 539-544 C 2017SPST Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Ichiro

More information

Fabrication of sawtooth diffraction gratings using nanoimprint lithography

Fabrication of sawtooth diffraction gratings using nanoimprint lithography Fabrication of sawtooth diffraction gratings using nanoimprint lithography Chih-Hao Chang, a) R. K. Heilmann, R. C. Fleming, J. Carter, E. Murphy, and M. L. Schattenburg Massachusetts Institute of Technology,

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Imprint lithography for curved cross-sectional structure using replicated Ni mold Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering,

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength Chapter 3 Fabrication Technologies and Instruments 3.1 Introduction The available fabrication technologies and instruments for fabricating the sub-wavelength grating will be described in this chapter.

More information

Large-area patterning by roller-based nanoimprint lithography

Large-area patterning by roller-based nanoimprint lithography JOANNEUM RESEARCH Forschungsgesellschaft Institute MATERIALS, Weiz, Austria Large-area patterning by roller-based nanoimprint lithography Ursula Palfinger, Dieter Nees, Stephan Ruttloff, Markus Leitgeb,

More information

Towards scalable fabrication of high efficiency polymer solar cells

Towards scalable fabrication of high efficiency polymer solar cells Towards scalable fabrication of high efficiency polymer solar cells Hui Joon Park 2*, Myung-Gyu Kang 1**, Se Hyun Ahn 3, Moon Kyu Kang 1, and L. Jay Guo 1,2,3 1 Department of Electrical Engineering and

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

A method for fabricating a micro-structured surface of polyimide with open and closed pores

A method for fabricating a micro-structured surface of polyimide with open and closed pores A method for fabricating a micro-structured surface of polyimide with open and closed pores Yong-Won Ma a, Jae Yong Oh b, Seokyoung Ahn c, Bo Sung Shin a,d, * a Department of Cogno-Mechatronics Engineering,

More information

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features DELIVERABLE REPORT WP7 JRA2 JRA2 Research on High Precision Manufacturing D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features M18 NFFA-Europe has received funding

More information

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography Appl. Phys. A 80, 1173 1178 (2005) DOI: 10.1007/s00339-004-3176-y Applied Physics A Materials Science & Processing w. wu 1, g.-y. jung 1 d.l. olynick 2 j. straznicky 1 z. li 1 x. li 1 d.a.a. ohlberg 1

More information

Supporting Information

Supporting Information Copyright WILEY-VCH Verlag GmbH & Co. KGaA, 69469 Weinheim, Germany, 2013. Supporting Information for Adv. Mater., DOI: 10.1002/adma.201300794 Highly Stretchable Patterned Gold Electrodes Made of Au Nanosheets

More information

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab. Hanyang University Soft Lithography Jin-Goo Park Materials and Chemical Engineering Hanyang University, Ansan Electronic Materials and Processing Lab. Introduction to Soft Lithography Research Micro- Electro-

More information

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2 Module 13: Soft Lithography Lecture 19: Soft Lithography 2 1 In the previous lecture we have introduced the concept of Soft Lithography and discussed three of the methods, which are Replica Molding, Micro

More information

Roll-to-Roll Nanoimprint - 6 회 -

Roll-to-Roll Nanoimprint - 6 회 - Roll-to-Roll Nanoimprint - 6 회 - 목차 Click to add Title 1 Roll to Roll nanoimprint Introduction : Continuous Production System (Roll-to-Roll) Fabrication Processes Fabrication of nano roll mold 2 Case study

More information

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides Simple UV-based Soft-lithography Process for Fabrication of Low-Loss Polymer PSQ-L-based Waveguides Jie Teng 1, 2, 4, Stijn Scheerlinck 4, Geert Morthier 4, Roel Baets 4, Hongbo Zhang 2,3, Xigao Jian 2,3,

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Proton beam fabrication of nickel stamps for nanoimprint lithography

Proton beam fabrication of nickel stamps for nanoimprint lithography Nuclear Instruments and Methods in Physics Research B 231 (2005) 407 412 www.elsevier.com/locate/nimb Proton beam fabrication of nickel stamps for nanoimprint lithography K. Ansari *, P.G. Shao, J.A. van

More information

Development of Imprint Materials for the Step and Flash Imprint Lithography Process

Development of Imprint Materials for the Step and Flash Imprint Lithography Process Development of Imprint Materials for the Step and Flash Imprint Lithography Process Frank Xu a, Nick Stacey b, Michael Watts a, Van Truskett a, Ian McMackin a, Jin Choi a, Philip Schumaker a, Ecron Thompson

More information

Template fabrication schemes for step and flash imprint lithography

Template fabrication schemes for step and flash imprint lithography Microelectronic Engineering 61 6 (00) 461 467 www.elsevier.com/ locate/ mee Template fabrication schemes for step and flash imprint lithography * E. Ainley, A. Talin, K. Gehoski, J.H. Baker, B.J. Choi,

More information

Module 12: Nano Imprint Lithography. Lecture 16: Nano Imprint Lithography 1

Module 12: Nano Imprint Lithography. Lecture 16: Nano Imprint Lithography 1 Module 12: Nano Imprint Lithography Lecture 16: Nano Imprint Lithography 1 1 In the previous five lectures (Lectures 11 to 15) we have seen how Photolithography can be used for patterning, particularly

More information

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography Fabrication of a 34 34 Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography NANO LETTERS xxxx Vol. 0, No. 0 A-E G. Y. Jung, S. Ganapathiappan, Douglas A. A. Ohlberg, Deirdre L. Olynick,

More information

Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution

Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution NANO LETTERS 2009 Vol. 9, No. 6 2306-2310 Zhiwei Li, Yanni Gu, Lei Wang, Haixiong Ge,*, Wei Wu,*, Qiangfei Xia, Changsheng Yuan, Yanfeng Chen,

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Supporting Information

Supporting Information Supporting Information The adhesion circle: A new approach to better characterize directional gecko-inspired dry adhesives Yue Wang, Samuel Lehmann, Jinyou Shao and Dan Sameoto* Department of Mechanical

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Technologies challenges and opportunities in UV and thermal Nanoimprint Lithography Roll2Roll technologies for flexible hybrid electronics

Technologies challenges and opportunities in UV and thermal Nanoimprint Lithography Roll2Roll technologies for flexible hybrid electronics Technologies challenges and opportunities in UV and thermal Nanoimprint Lithography Roll2Roll technologies for flexible hybrid electronics Thomas Kolbusch, Vice President, Coatema GmbH Wilfried Schipper,

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment

A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment Paper: A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment Jian-Shian Lin Λ;ΛΛ, Chieh-Lung Lai Λ, Ya-Chun Tu Λ, Cheng-Hua Wu Λ, and Yoshimi Takeuchi ΛΛ Λ Mechanical and System Research

More information

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma

Supporting Information. graphene oxide films for detection of low. concentration biomarkers in plasma Supporting Information Wafer-scale high-resolution patterning of reduced graphene oxide films for detection of low concentration biomarkers in plasma Jinsik Kim a, Myung-Sic Chae a, Sung Min Lee b, Dahye

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER Chapter 7 66 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER 7.1 Introduction In recent years, polymer dye lasers have attracted much attention due to their low-cost processing, wide choice

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Injection Compression Molding of Replica Molds for Nanoimprint Lithography

Injection Compression Molding of Replica Molds for Nanoimprint Lithography Polymers 2014, 6, 604-612; doi:10.3390/polym6030604 Article OPEN ACCESS polymers ISSN 2073-4360 www.mdpi.com/journal/polymers Injection Compression Molding of Replica Molds for Nanoimprint Lithography

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Applications of Nano Patterning Process 1. Patterned Media

Applications of Nano Patterning Process 1. Patterned Media Applications of Nano Patterning Process 1. Patterned Media Contents Introduction Part. 1. Nanoimprinting on Glass Substrate for Patterned Media Part. 2. Nanoinjection Molding of Nanopillars for Patterned

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

Nano-imprinting Lithography Technology І

Nano-imprinting Lithography Technology І Nano-imprinting Lithography Technology І Agenda Limitation of photolithograph - Remind of photolithography technology - What is diffraction - Diffraction limit Concept of nano-imprinting lithography Basic

More information

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Dra. Mariana Pojar de Melo Prof. Dr. Antonio Carlos Seabra Dep. Eng. de Sistemas Eletrônicos Escola Politécnica

More information

Polymer-based Microfabrication

Polymer-based Microfabrication Polymer-based Microfabrication PDMS SU-8 PMMA Hydrogel 1 Soft Lithography Developed by Whitesides, et. al A set of techniques for microfabrication based on the use of lithography, soft substrate materials

More information

Subwavelength gratings fabricated on semiconductor substrates via E-beam lithography and lift-off method

Subwavelength gratings fabricated on semiconductor substrates via E-beam lithography and lift-off method Optical and Quantum Electronics (2005) 37:425 432 Ó Springer 2005 DOI 10.1007/s11082-005-2027-1 Subwavelength gratings fabricated on semiconductor substrates via E-beam lithography and lift-off method

More information

Deep-etched fused silica grating as a (de)multiplexer for DWDM application at the wavelength of 1.55µm

Deep-etched fused silica grating as a (de)multiplexer for DWDM application at the wavelength of 1.55µm Deep-etched fused silica grating as a (de)multiplexer for DWDM application at the wavelength of 1.55µm Yanyan Zhang*, Changhe Zhou, Huayi Ru, Shunquan Wang Shanghai Institute of Optics and Fine Mechanics,

More information

Easy Fabrication of Electrically Insulating Nanogaps by Transfer Printing

Easy Fabrication of Electrically Insulating Nanogaps by Transfer Printing Nanopatterning Easy Fabrication of Electrically Insulating Nanogaps by Transfer Printing Omar Fakhr, * Philipp Altpeter, Khaled Karrai, * and Paolo Lugli * A n easy and cost-effective method to reproducibly

More information

Innovative Roll-to-Roll Equipment & Material Development Suite

Innovative Roll-to-Roll Equipment & Material Development Suite Innovative Roll-to-Roll Equipment & Material Development Suite For Next Generation Technology from Carpe Diem Technologies and the University of Massachusetts Amherst By John Berg, Dimitur Benchev, James

More information

Soft-lithography for preparing patterned liquid crystal orientations

Soft-lithography for preparing patterned liquid crystal orientations Soft-lithography for preparing patterned liquid crystal orientations Hak-Rin Kim 1, Jong-Wook Jung 2, Min-Soo Shin 2, Myung-Eun Kim 2, You-Jin Lee 2, and Jae-Hoon Kim 1,2,3 * 1 Research of Institue Display,

More information

Impact of Zinc Oxide on the UV Absorbance and Mechanical Properties of UV Cured Films

Impact of Zinc Oxide on the UV Absorbance and Mechanical Properties of UV Cured Films Impact of Zinc Oxide on the UV Absorbance and Mechanical Properties of UV Cured Films R. K. SONI, MEENU TEOTIA* Department of Chemistry C.C.S, University, Meerut Ultraviolet radiation [UVR] UVR is divided

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

types of curing mechanisms and developmental examples will be described in the following.

types of curing mechanisms and developmental examples will be described in the following. transfer pattern. Increasingly, efforts are being made in many institutions to apply the technique to the processes for semiconductor devices [7, 8, 9, 10], storage media [11, 12], optical devices [13],

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Fabrication of photonic band-gap crystals

Fabrication of photonic band-gap crystals Fabrication of photonic band-gap crystals C. C. Cheng and A. Scherer California Institute of Technology, Pasadena, California 91125 Received 19 June 1995; accepted 9 August 1995 We describe the fabrication

More information

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference Procedia Chemistry 1 (2009) 609 613 Procedia Chemistry www.elsevier.com/locate/procedia Proceedings of the Eurosensors XXIII conference Thermal Characterization of Polycrystalline CVD Diamond Thin Films

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Superionic Solid State Stamping (S4)

Superionic Solid State Stamping (S4) Superionic Solid State Stamping (S4) Lead Faculty Researcher: Placid Ferreira Department: Materials Science & Engineering Hsu et al, Nano Letters, 2007 1. Description: This dry, single step, electrochemical

More information

MICROFLUIDIC ASSEMBLY BLOCKS

MICROFLUIDIC ASSEMBLY BLOCKS ELECTRONIC SUPPLEMENTARY INFORMATION MICROFLUIDIC ASSEMBLY BLOCKS Minsoung Rhee 1,2 and Mark A. Burns 1,3, * 1 Department of Chemical Engineering, the University of Michigan 2300 Hayward St. 3074 H.H.

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering Microelectronics Journal 37 (2006) 1481 1485 www.elsevier.com/locate/mejo Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering T.I. Kamins a,, A.A. Yasseri a,1, S. Sharma a,2, R.F.W.

More information

Pattern Formation in PMMA Film Induced by Electric Field

Pattern Formation in PMMA Film Induced by Electric Field Pattern Formation in PMMA Film Induced by Electric Field OLEKSIY LYUTAKOV, 1 IVAN HÜTTEL, 1 VÁCLAV PRAJZLER, 2 VÍTĚZSLAV JEŘÁBEK, 2 ALEXANDER JANČÁREK, 3 VLADIMÍR HNATOWICZ, 4 VÁCLAV ŠVORČÍK 1 1 Department

More information

IMMERSION HOLOGRAPHIC RECORDING OF SUBWAVELENGTH GRATINGS IN AMORPHOUS CHALCOGENIDE THIN FILMS

IMMERSION HOLOGRAPHIC RECORDING OF SUBWAVELENGTH GRATINGS IN AMORPHOUS CHALCOGENIDE THIN FILMS Journal of Optoelectronics and Advanced Materials Vol. 7, No. 5, October 2005, p. 2581-2586 IMMERSION HOLOGRAPHIC RECORDING OF SUBWAVELENGTH GRATINGS IN AMORPHOUS CHALCOGENIDE THIN FILMS J. Teteris *,

More information

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

Soft-lithography for Preparing Patterned Liquid Crystal Orientations 2007 KIDS Soft-lithography for Preparing Patterned Liquid Crystal Orientations Hak-Rin Kim **a, Jong-Wook Jung **a, Min-Soo Shin **a, Myung-Eun Kim a, You-Jin Lee **a, and Jae-Hoon Kim *b Abstract We demonstrate

More information

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive Supplementary Information Channel fabrication Glass microchannels. A borosilicate glass wafer

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists 4,100 116,000 120M Open access books available International authors and editors Downloads Our

More information

The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures

The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures Konstantinos Tsioris 1, Robert D White 1, David L Kaplan 2, and Peter Y Wong 1 1 Mechanical Engineering, Tufts University,

More information

Preparation of PZT(53/47) thick films deposited by a dip-coating process

Preparation of PZT(53/47) thick films deposited by a dip-coating process Microelectronic Engineering 66 (003) 865 871 www.elsevier.com/ locate/ mee Preparation of PZT(53/47) thick s deposited by a dip-coating process * Xi-Yun He, Ai-Li Ding, Xin-Sen Zheng, Ping-Sun Qiu, Wei-Gen

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Choi, Jun-Hyuk Korea Institute of Machinery & Materials The 11 th US-Korea Nanosymposium Choi, Jun-Hyuk 2014. 09. 29 Korea Institute of Machinery & Materials About KIMM Nano-research Bldg Clean RM Five Research Divisions; 1. Advanced Manufacturing Sys. 2. Extreme

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

Contact mechanics of a flexible imprinter for photocured nanoimprint lithography

Contact mechanics of a flexible imprinter for photocured nanoimprint lithography Tribology Letters, Vol. 9, No., May 2005 (0 2005) DO: 0.007~24900542656 Contact mechanics of a flexible imprinter for photocured nanoimprint lithography G.M. McClellanda,*, C.T. Rettnera, M.W. Harta, K.R.

More information

Ostemer 322 Crystal Clear

Ostemer 322 Crystal Clear Ostemer 322 Crystal Clear Overview Name Description Recommended applications (see ostemers.com for references) Storage Handling Ostemer 322 Crystal Clear A dual cure polymer (UV + heat) with high transparency

More information

High Sensitivity of Phase-based Surface Plasmon Resonance in Nano-cylinder Array

High Sensitivity of Phase-based Surface Plasmon Resonance in Nano-cylinder Array PIERS ONLINE, VOL. 4, NO. 7, 2008 746 High Sensitivity of Phase-based Surface Plasmon Resonance in Nano-cylinder Array Bing-Hung Chen, Yih-Chau Wang, and Jia-Hung Lin Institute of Electronic Engineering,

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Replication of High Fidelity Surface Relief Structures. Jason Anagnostis, Scott Payette, David Rowe Holographix, Inc. 577 E. Main St.

Replication of High Fidelity Surface Relief Structures. Jason Anagnostis, Scott Payette, David Rowe Holographix, Inc. 577 E. Main St. (Written for the ASPE 1999 Spring Topical Meeting) Replication of High Fidelity Surface Relief Structures Jason Anagnostis, Scott Payette, David Rowe Holographix, Inc. 577 E. Main St. Hudson, MA 01749

More information

纳米压印技术最新进展. Obducat Technologies AB., Sweden Gang Luo,

纳米压印技术最新进展. Obducat Technologies AB., Sweden Gang Luo, 纳米压印技术最新进展 Obducat Technologies AB., Sweden Gang Luo, 20170711 History 2016 Launch of SINDRE Large Area 2015 Acquire Solarsemi Gmbh 2015 Awarded by NNT for Pioneering NIL into production 2014 Launch and

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks 9-11 April 8 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks R. F. Shyu 1, H. Yang, J.-H. Lee 1 Department of Mechanical Manufacturing Engineering, National Formosa University, Yunlin,

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

Correlation of Near Surface Morphology of Polypropylene and Paint Adhesion Studied by Grazing Incidence X-Ray Diffraction

Correlation of Near Surface Morphology of Polypropylene and Paint Adhesion Studied by Grazing Incidence X-Ray Diffraction Copyright(C)JCPDS-International Centre for Diffraction Data 2, Advances in X-ray Analysis, Vol.42 646 Copyright(C)JCPDS-International Centre for Diffraction Data 2, Advances in X-ray Analysis, Vol.42 646

More information

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Journal of Advanced Mechanical Design, Systems, and Manufacturing Fabrication of the X-Ray Mask using the Silicon Dry Etching * Hiroshi TSUJII**, Kazuma SHIMADA**, Makoto TANAKA**, Wataru YASHIRO***, Daiji NODA** and Tadashi HATTORI** **Laboratory of Advanced Science

More information

Micro Injection Molding of Micro Fluidic Platform

Micro Injection Molding of Micro Fluidic Platform Micro Injection Molding of Micro Fluidic Platform S. C. Chen, J. A. Chang, Y. J. Chang and S. W. Chau Department of Mechanical Engineering, Chung Yuan University, Taiwan, ROC Abstract In this study, micro

More information

Thermal Load And Heat Transfer Regarding EBPVD Of Plastic Web And Thin Metal Foils

Thermal Load And Heat Transfer Regarding EBPVD Of Plastic Web And Thin Metal Foils Thermal Load And Heat Transfer Regarding EBPVD Of Plastic Web And Thin Metal Foils J. Richter, E. Reinhold, Chr. Steuer VON ARDENNE Anlagentechnik GmbH D-01324 Dresden, Germany 1 - Thema - 12.08.2003 e-mail:

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Area-selective atomic layer deposition for self-aligned fabrication

Area-selective atomic layer deposition for self-aligned fabrication Area-selective atomic layer deposition for self-aligned fabrication Adrie Mackus Eindhoven University a.j.m.mackus@tue.nl Area-selective ALD for bottom-up processing Top-down Bottom-up Building technology

More information

Large Area Functional Surfaces By Roll-to-Roll Nanoimprint Lithography Project: APPOLO

Large Area Functional Surfaces By Roll-to-Roll Nanoimprint Lithography Project: APPOLO Large Area Functional Surfaces By Roll-to-Roll Nanoimprint Lithography Project: APPOLO Mr. Theodoros Tachtsidis Nanotypos 23rd and 24th of June Amsterdam Core Overview Nanotypos is a pioneering research

More information