correlated electronic variations

Size: px
Start display at page:

Download "correlated electronic variations"

Transcription

1 Surface and bulk defects of diamond and correlated electronic variations Outline: Christoph E. Nebel Fraunhofer Institute of Applied Solid State Physics (IAF) Freiburg, Germany I. Surface Properties Morphology Termination Surface Band Bending Schottky Contact Properties II. Bulk properties Mobilities P1 and H1 Centers µτ-products Deep Defects

2 Acknowledgement Dr. Zeisel (ODLTS) WSI, Germany Dr. Rezek (AFM) AIST, Japan Dr. Yang (electrochemistry) AIST, Japan Dr. Takeuchi (TPYS) AIST, Japan Dr. Ri (wet chemical etching) NIMS, Japan Dr. Tokuda (homo-epi growth) AIST, Japan Dr. Watanabe (homo-epi. growth) AIST, Japan Dr. Misouchi (EPR) Univ. Tsukuba, Japan Dr. Gräff (EPR) Uni. Sao Paulo, Brasil

3 I.a) Surface Morphologies Atomically flat surface with step-etch growth. Terraces run parallel to the (110) direction H.Okushi, Diam. Rel. Mat. 10, 281 (2001)

4 Homo-Epitaxial Growth of CVD Diamond (AIST) H. Watanabe et al., New Diamond and Frontier Carbon Technology, 12, 369 (2002) but: extremly low growth rate (10 nm/h)

5 Surface Properties of Homo-Epi. Diamond Atomically Smooth Surface RMS = 0.8 Å

6 Truly Atomically Smooth CVD Diamond tilt angle initial substrate surface atomic steps N. Tokuda et al. sub. (111) basal plane 300 [nm] B B Homoepitaxial growth diamond sub. step-flow growth direction step-free surface epitaxial film diamond sub. step-free surface 0.5 [nm] [µm] B B cross-section 500 [nm] epitaxial film diamond sub.

7 Polycrystalline CVD Diamond: Growth side Substrate side fast growth, large area M. Nesladek

8 Diamond Surface: Poly CVD Diamond Crystallite roughness about: µm Roughness on planes: nm

9 Polished Diamond Polishing Roughness: 2-15 nm Fine structure roughness: 5 A µm

10 I.b) Diamond Surface Terminations C(100)(2x1) Hydrogen Terminated Diamond Wet-chemically or electrochemically oxidized Reconstructed Diamond Plasma-oxidized Diamond Ketone or Top Site Model Ether or Bridge Site Model

11 H-Termination after 6 h H-plasma H-termination parameter sample date d stage temperature [ C] 800 microwave power [W ] 750 total gas pressure [Torr] 25 total H2 flow [sccm] 400 total CH4 flow [sccm] 0 exposure time [min] 5 plasma off at [ C] 700

12 Defect Free: C(100)-(2x1):H H-termination: ex-situ at 800 o C, for 1h Microwave Plasma CVD Hot Filament Technique Atomically flat surface with mono-atomic steps A. Maynev, G. Dujardin, New Diamond and Frontier Carbon Technology 15 (2005) p. 265.

13 Summary H-termination If H-termination is optimized: Unpinned Surface Fermi level High quality surface with minimized defect density Schottky-Mott Law ok? Schottky Barrier Hight: qφ Βn = q(φ m - χ) But: Data in literature does not show NEA properties 1.2 ev shift

14 Electronic Surface Properties Total Photoelectron Yield (rel. units) Surface(VBM) 4.4±0.1 ev E g 800 C 10 min Photon Energy (ev) TPY=A(hν-6.784) 3.5 H-termination: negative electron affinity: -1.1 ev Maier, Ley, Ristein et al. Takeuchi et al. UHV annealed sample (800 o C): positive electron affinity: +1.2 ev

15 Band Bending of H-Terminated Diamond A A 2 Built-in Potential V bi Acceptor Density N D Doping Profiling as function of x: A 2 C=ε o ε r A/w A 2 Gives Depth Gives Acceptor Density

16 H-term. Boron doped Diamond in 1 M H 2 SO 4 Capacitance Voltage Spectroscopy 8.0x10 13 N A = 3 x10 20 cm -3 1M H 2 SO 4 Freq. 1 khz 6.0x V Built-In Potential: V [1/C 2 ] / F x x HSBD UK HSBD 8 HSBD 9 HSBD7 0.8 V 0.84 V 1.1 V Energy Barrier: ev Capacitance of Depletion Layer: 5 µf/cm 2 E / V (Ag / Ag Cl)

17 Diamond Interface To Buffer Remember Metals: Charge separation at interface: 1 to 2 Å ( Helmholtz Capacitance ): Large Capacitance! Diamond Capacitive Layer Width: 10 Å Small Capacitance! Electrolyte Background Current: I = v C

18 Energy Levels of Buffers

19 Local Oxidation (STM): C(100)-(2x1):H H-termination: ex-situ at 800 o C, for 1h a): Atomically flat surface with mono-atomic steps b) After desorption of individual H by STM tip. Circles are defects K. Bobrov, G. Dujardin, et al. Surface Science 528, p. 138 (2003)

20 Surface Degradation DNA-FET in buffer Drain-Source Current (A) 2.5x x x x x10-7 Phosphate Buffer, ph 7 U G = -0.4 V U DS = -0.6 V Significant degradation FET in Air Time (h) Amplitude decrease: 70 % (700 h) H-term. Surface: Decrease: 40 % (700 h) H-terminated diamond in air is chemically not stable

21 Wet-Chemical/ Electrochemical Oxidation Boiling in: HNO 3 :H 2 SO 4 = 1:3 at 230 o C for 1 h Termination with OH but: degree of termination (100 %)?

22 H-termination evaluated chemically Decreased to 10 % Still H-terminated!

23 Plasma Oxidation: Ketone or Top Site Model O 2 -Plasma: Ether or Bridge Site Model 300 W, 25 Torr, 5 min.

24 Reconstruction (ann o C) H-free surface, clean diamond (2x1) reconstruction with π-bondet dimers K. Bobrov, G. Dujardin, et al., Nature 413, 616 (2001)

25 Capacitance/Voltage Evaluation 2,5x10 14 N A = 5x10 20 cm -3 2,0x10 14 electrochemical Oxygen Plasma 1/C -2 (F -2 ) 1,5x ,0x10 14 reconstructed 5,0x10 13 H-term 1.65 V 2.5 V 3.7V 0, Potential (V) Frequency: 100 Hz Buffer : Phosphate (no FeCN)

26 Diamond Interface after Surface Modifications (data are not convincing?)

27 Surface Fermi Level (UPS/XPS): E = ev (111)-(2x1) reconstructed: 0.88 above E VBM J.B. Cui et al. Phys. Rev. Lett. 81 (1998) p (100)-(1x1):O 1.2 ev downward bending Murret et al, Semicond. Sci. Technol. 19 (2004) p. 1. (100)-(2x1):H Murret et al, Semicond. Sci. Technol. 19 (2004) p ev downward Bending (100)-(2x1):H 0.5 ev above E VBM Kono et al. Surf. Science 529 (2003) p.180. Surface defects

28 Real Schottky Contact Surface Fermi-level pinning by surface defects Schottky barrier is not dependent on metal.

29 Schottky Properties: Clean and Oxygen Terminated Diamond from: M. Werner, Semicond. Sci. Technol. 18 (2003) S41

30 Cleanness: SEM image of Bar-Contact structures partially wiped in ethanol

31 Cleaning by contact mode AFM Tapping Mode AFM Surface Morphology AFM Image Contact Mode AFM Cleanning Surface is covered with a thin (1-10) nm thick adhesive layer. SEM Image B. Rezek, C.E. Nebel, M. Stutzmann Diam. and Rel. Mat. 13, (2004)

32 AFM carbon deposit in air and liquids in air in water in water in isopropanol C-O C-H Au contact c-afm region ~110nN Surface layer is also attached to diamond in: Water Isopropanol not removable by ultrasonic cleaning

33 Electrostatic Attraction of Ionized Nano-Particles Accumulation of charged particles on the surface, in the water adsorbate? Due to electrostatic force, only small particles will be attached CH Dipole CO Dipole

34 Summary Surface: H-terminated Surface Technique: H-plasma, H-hot filament Unpinned Surface Fermi Level Best interface for Schottky Metal Contacts In air not stable Diamond surface oxidation: Techniques: wet-chemically (OH), electrochemically (OH), plasma (O) Not fully characterized with respect to: Degree of termination, Surface defect density and distribution Clean diamond surface Realized only in UHV at T > 800 C Missing Data about: Stability in air, Defect density

35 Roadmap Surface Optimization Polishing causes surface damage (not yet characterized!) Optimization: 1) Polishing 2) Plasma Etching 3) Homo-Epitaxial Overgrowth 4) H-Termination

36 Bulk Properties

37 Hole Mobilities T -3/2 : acoustic phonon scattering T -2.8 : optical phonon scattering 10 4 ~T -3/2 Theory Reggiani et al. Dean et al. Konorova et al. Isberg (intrinsic) AIST Boron doping Isberg et al. : time-of-flight on undoped CVD diamond (Science 297, p (2002): 3800 cm 2 /Vs) Reggiani: Time-of-flight on undoped natural diamond Dean and Konorova: Hall Mobilities Dr. Okushi et al. AIST: Hall effect on boron doped CVD diamond Hole Mobility (cm 2 /Vs) ~T Temperature (K)

38 Electron Mobilities in natural and P-doped Diamond: T -3/2 : acoustic phonon scattering Isberg et al.,: Time-of-flight in undoped CVD diamond ( Science 297, p (2002): 4500 cm 2 /Vs) Nava: Time-of-flight on natural undoped diamond Konorova: Hall effect Redfield: Hall effect Electron Mobility (cm 2 /Vs) -3/2 ~T Phosphorus doped diamond Isberg et al. Theory Nava et al. Redfield Konorova et al. Koizumi Koizumi et al.: Hall effect on Phosphorus doped diamond Temperature (K)

39 Defects: H1 Center (g = ) In homoepitaxially grown single crystalline diamond: Typical Density: 5x10 18 cm -3 Zhou et al. PRB 54 (1996) p N. Mizuochi et al. DRM. Effect on transport and recombination not clear!

40 Defect Model: X. Zhou, G.D. Watkins et al. PRB 54 (1996) p. 7881

41 Defects: P1 Center (N-Dopant, g = ) EPR of substitutional nitrogen (g=2.0024). Satellite positiondepends on magnetic field with respect to (111)-orientation

42 Dislocations lattice distortion grow from substrate into epi-layer killer of high voltage devices Minimization: soft etching of substrate befor growth, to remove distortions

43 µτ Product as Function of Morphology N-content < cm -3 µτ e Single Crystalline Diamond µτ h µτ (cm 2 /Vs) Single Crystalline CVD Factor 1000 better 10-6 Polycrystalline CVD Sample Polycrystalline Diamond J. Isberg et al., Diam. Rel. Mat. 13 (2004) 872.

44 µτ-product as Function of Nitrogen Content Nitrogen not important 10-5 N-content < cm -3 µτ h µτ (cm 2 /V) µτ e N-content: ( ) cm Polycrystalline CVD Diamond Sample J. Isberg et al., Diam. Rel. Mat. 13 (2004) 872.

45 Comparision of structural properties: P. Bergonzo et al Grain boundary effect: best SCD

46 Polycrystalline CVD Diamond

47 Polycrystalline CVD Diamond

48 Deep trapping of carriers (electrons and holes) Memory Effect 6,0x10-3 4,0x10-3 A F = 1.2x10 3 V/cm PD Current (A) 2,0x10-3 0,0 B F = 0 V/cm -2,0x10-3 0,0 5,0x10-9 1,0x10-8 1,5x10-8 2,0x10-8 Time (s)

49 Model:

50 After laser exposure the internal field is reversed:

51 Traps or defect, can be occupied by electrons and holes!

52 Deep Defect Characterization (ODLTS)

53 Carbon Implantation

54 Energy resolved defect variation:

55 Defect Annealing

56 Annealing Dynamics: Carbon Vacancy! T > 600 K

57 Carbon Implantation induced Defects:

58 Summay Bulk Minor problems from: Defects (H1) Nitrogen (low density) Major problems from: Grain boundaries (dislocations?) Defect characterization not established: V NV NiN...

AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba

AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba A. Traoré 1, A. Nakajima 1, T. Makino 1,2, D. Kuwabara 1,2,3, H. Kato 1,2, M. Ogura 1,2, D. Takeuchi 1,2, and S. Yamasaki 1,2,3 1 AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba aboulaye.traore@aist.go.jp Diamond

More information

CHAPTER 4 THE STUDIES OF THE CVD GROWTH PROCESS FOR EPITAXIAL DIAMOND (100) FILMS USING UHV STM

CHAPTER 4 THE STUDIES OF THE CVD GROWTH PROCESS FOR EPITAXIAL DIAMOND (100) FILMS USING UHV STM CHAPTER 4 THE STUDIES OF THE CVD GROWTH PROCESS FOR EPITAXIAL DIAMOND (100) FILMS USING UHV STM 4.1 Introduction This chapter presents studies of the CVD diamond growth process using UHV STM. It has been

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Growth and properties of (ultra) nano crystalline diamond

Growth and properties of (ultra) nano crystalline diamond Growth and properties of (ultra) nano crystalline diamond Hadwig Sternschulte 1,2 1 nanotum, Technische Universität München, D-85748 Garching, Germany 2 Physik Department E19, Technische Universität München,

More information

(100) SUBSTRATE PROCESSING OPTIMIZATION FOR FABRICATION OF SMOOTH BORON DOPED EPITAXIAL DIAMOND LAYER BY PE CVD

(100) SUBSTRATE PROCESSING OPTIMIZATION FOR FABRICATION OF SMOOTH BORON DOPED EPITAXIAL DIAMOND LAYER BY PE CVD (100) SUBSTRATE PROCESSING OPTIMIZATION FOR FABRICATION OF SMOOTH BORON DOPED EPITAXIAL DIAMOND LAYER BY PE CVD MORTET Vincent 1,2, FEKETE Ladislav 1, ASHCHEULOV Petr 1, TAYLOR Andrew 1,2, HUBÍK Pavel

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Detrimental effects of dislocations II

Detrimental effects of dislocations II Detrimental effects of dislocations II Band diagram around a charged dislocation e - - - - - - - - Charged dislocation line Electrons get scattered by charged dislocations Mobility vs. sheet charge in

More information

GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION

GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION CHAPTER V GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION 5.1 INTRODUCTION P olycrystalline semiconductors are potential candidates

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

Epitaxial growth of (001) and (111) Ni films on MgO substrates

Epitaxial growth of (001) and (111) Ni films on MgO substrates Epitaxial growth of (001) and (111) Ni films on MgO substrates Rosa Alejandra Lukaszew 1, Vladimir Stoica, Ctirad Uher and Roy Clarke Physics Department, University of Michigan, Ann Arbor 1 Presently at

More information

SC-CVD growth and diamond devices

SC-CVD growth and diamond devices SC-CVD growth and diamond devices Milos Nesládek 1,3, Ken Haenen 1, Satoshi Koizumi 2, Philippe Bergonzo 3 1 Limburgs Universitair Centrum, Belgium; & IMEC-IMOMEC vzw, Belgium; 2 National Institute for

More information

Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn

Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn after bubbling transfer. Scale bars (ad) 20 μm. Supplementary

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

Surfaces and Interfaces of Transparent Conducting Oxides Andreas Klein

Surfaces and Interfaces of Transparent Conducting Oxides Andreas Klein Surfaces and Interfaces of Transparent Conducting Oxides Andreas Klein Technische Universität Darmstadt, Institute of Materials Science, Surface Science Division Transparent conducting oxides d R T high

More information

Taimur Ahmed. Chalmers University of Technology, Sweden

Taimur Ahmed. Chalmers University of Technology, Sweden Taimur Ahmed Chalmers University of Technology, Sweden Background Ferromagnetics Ferroelectrics Multiferroics BiFeO 3 (BFO) Design & modeling of dielectric response Device fabrication Characterization

More information

Synthesis processes of materials for quantum technologies: diamond and oxide films

Synthesis processes of materials for quantum technologies: diamond and oxide films Texte Synthesis processes of materials for quantum technologies: diamond and oxide films Alexandre Tallaire, Alban Ferrier, Diana Serrano, Philippe Goldner IRCP, Chimie ParisTech Ovidiu Brinza, Vianney

More information

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures Available online at www.sciencedirect.com Diamond & Related Materials 17 (2008) 481 485 www.elsevier.com/locate/diamond Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

More information

the image contrast at the atomic scale. The same method for STM image

the image contrast at the atomic scale. The same method for STM image 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 Supplementary Note 1. The interpretation of element-specific scanning tunneling microscopy (STM) images Oxygen vacancy lines

More information

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION 40 CHAPTER 4 SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION 4.1 INTRODUCTION Aluminium selenide is the chemical compound Al 2 Se 3 and has been used as a precursor

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION High Electrochemical Activity of the Oxide Phase in Model Ceria- and Ceria-Ni Composite Anodes William C. Chueh 1,, Yong Hao, WooChul Jung, Sossina M. Haile Materials Science, California Institute of Technology,

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Synthesis and Evaluation of Electrocatalysts for Fuel Cells

Synthesis and Evaluation of Electrocatalysts for Fuel Cells Synthesis and Evaluation of Electrocatalysts for Fuel Cells Jingguang Chen Center for Catalytic Science and Technology (CCST) Department of Chemical Engineering University of Delaware Newark, DE 19711

More information

Electron field emission properties of tetrahedral amorphous carbon films

Electron field emission properties of tetrahedral amorphous carbon films JOURNAL OF APPLIED PHYSICS VOLUME 85, NUMBER 9 1 MAY 1999 Electron field emission properties of tetrahedral amorphous carbon films L. K. Cheah, a) X. Shi, E. Liu, and B. K. Tay Ion Beam Processing Lab,

More information

Why does pyrite have a low photovoltage?

Why does pyrite have a low photovoltage? Why does pyrite have a low photovoltage? August 25, 2011 Hypothesis I: metallic phase impurities Pyrite always contains metallic FeS-type phase impurities, which somehow reduce the photovoltage Evidence

More information

Passivation of InAs and GaSb with novel high dielectrics

Passivation of InAs and GaSb with novel high dielectrics Passivation of InAs and GaSb with novel high dielectrics Professor Minghwei HONG Department of Materials Science and Engineering, National Tsing Hua University 101, Section 2, Kuang-Fu Rd., Hsinchu, Taiwan,

More information

Supporting Information. AlN/h-BN Heterostructures for Mg Dopant-Free Deep Ultraviolet Photonics

Supporting Information. AlN/h-BN Heterostructures for Mg Dopant-Free Deep Ultraviolet Photonics Supporting Information AlN/h-BN Heterostructures for Mg Dopant-Free Deep Ultraviolet Photonics D. A. Laleyan 1,2, S. Zhao 1, S. Y. Woo 3, H. N. Tran 1, H. B. Le 1, T. Szkopek 1, H. Guo 4, G. A. Botton

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Carl V. Thompson and Hang Z. Yu* Dept. of Materials Science and Engineering MIT, Cambridge, MA, USA Effects of intrinsic

More information

Supporting Information

Supporting Information Supporting Information Large-Area, Transfer-Free, Oxide-Assisted Synthesis of Hexagonal Boron Nitride Films and Their Heterostructures with MoS2 and WS2 Sanjay Behura, Phong Nguyen, Songwei Che, Rousan

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

I. GaAs Material Properties

I. GaAs Material Properties I. GaAs Material Properties S. Kayali GaAs is a III V compound semiconductor composed of the element gallium (Ga) from column III and the element arsenic (As) from column V of the periodic table of the

More information

Hot-wire deposited intrinsic amorphous silicon

Hot-wire deposited intrinsic amorphous silicon 3 Hot-wire deposited intrinsic amorphous silicon With the use of tantalum as filament material, it is possible to decrease the substrate temperature of hot-wire deposited intrinsic amorphous silicon, while

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

Ultra Low Resistance Ohmic Contacts to InGaAs/InP Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C. Gossard ECE and Materials Departments University of California,

More information

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE W.L. Sarney 1, L. Salamanca-Riba 1, V. Ramachandran 2, R.M Feenstra 2, D.W. Greve 3 1 Dept. of Materials & Nuclear Engineering,

More information

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology Introduction to Thin Film Contents 1. Introduction and Application Examples (2h) 2. Preparation of Thin Films by PVD (Physical Vapor Deposition) (6h) 2.1 Vacuum Technique (1h) 2.1.1 Kinetics of Gases 2.1.2

More information

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth

Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature Homoepitaxial Growth Journal of ELECTRONIC MATERIALS, Vol. 39, No. 1, 2010 DOI: 10.1007/s11664-009-0953-6 Ó 2009 TMS Heavily Aluminum-Doped Epitaxial Layers for Ohmic Contact Formation to p-type 4H-SiC Produced by Low-Temperature

More information

Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers

Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers Materials Science-Poland, Vol. 25, No. 2, 2007 Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers W. KNOFF *, P. DZIAWA, V. OSINNIY, B. TALIASHVILI, V. DOMUCHOWSKI, E. ŁUSAKOWSKA, K. ŚWIĄTEK,

More information

Silicon Carbide Processing Technology: Issues and Challenges. Michael A. Capano School of ECE, Purdue University May, 2007

Silicon Carbide Processing Technology: Issues and Challenges. Michael A. Capano School of ECE, Purdue University May, 2007 Silicon Carbide Processing Technology: Issues and Challenges School of ECE, Purdue University May, 2007 Outline Introduction Epitaxial growth of 4H-SiC by hot-wall CVD (Si-face and C-face) 4H-SiC bipolar

More information

Hall coefficient, mobility and carrier concentration as a function of composition and thickness of Zn-Te thin films

Hall coefficient, mobility and carrier concentration as a function of composition and thickness of Zn-Te thin films Available online at www.pelagiaresearchlibrary.com Advances in Applied Science Research, 2015, 6(4):215-220 ISSN: 0976-8610 CODEN (USA): AASRFC Hall coefficient, mobility and carrier concentration as a

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK

ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK CHAPTER III ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK 3.1 INTRODUCTION A lot of attention is being focused on the electrical properties of PX-Silicon because of its application in integrated

More information

Deposited by Sputtering of Sn and SnO 2

Deposited by Sputtering of Sn and SnO 2 Journal of the Korean Ceramic Society Vol. 49, No. 5, pp. 448~453, 2012. http://dx.doi.org/10.4191/kcers.2012.49.5.448 Comparative Study of Nitrogen Incorporated SnO 2 Deposited by Sputtering of Sn and

More information

The story so far: Isolated defects

The story so far: Isolated defects The story so far: Infinite, periodic structures have Bloch wave single-particle states, labeled by a wavenumber k. Translational symmetry of the lattice + periodic boundary conditions give discrete allowed

More information

Effect of thermal annealing on the surface, optical, and structural properties of p-type ZnSe thin films grown on GaAs (100) substrates

Effect of thermal annealing on the surface, optical, and structural properties of p-type ZnSe thin films grown on GaAs (100) substrates JOURNAL OF MATERIALS SCIENCE 39 (2 004)323 327 Effect of thermal annealing on the surface, optical, and structural properties of p-type ZnSe thin films grown on GaAs (100) substrates M. J. KIM, H. S. LEE,

More information

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 2492 2496 Part, No. 5A, May 2000 c 2000 The Japan Society of Applied Physics Passivation of O 2 / Interfaces Using High-Pressure-H 2 O-Vapor Heating Keiji SAKAMOTO

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

High Performance AlGaN Heterostructure Field-Effect Transistors

High Performance AlGaN Heterostructure Field-Effect Transistors Kyma Inc. Contract ABR DTD 1/8/07; Prime: FA8650-06-C-5413 1 High Performance AlGaN Heterostructure Field-Effect Transistors Program Objectives The primary objectives of this program were to develop materials

More information

of Au Nanodots on Ultrathin in-situ Grown Silicon Oxide.

of Au Nanodots on Ultrathin in-situ Grown Silicon Oxide. Variation of Threshold Field in Field Induced Fabrication of Au Nanodots on Ultrathin in-situ Grown Silicon Oxide. Jeong Young Park 1,3, a), R. J. Phaneuf 2,3, and E. D. Williams 1,3 1 Department of Physics,

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

FePd (216 Å) grown on (001) MgO. 2θ(deg)

FePd (216 Å) grown on (001) MgO. 2θ(deg) Major Findings 1. FePd thin films The structural characterization of the films grown at various substrate temperatures (RT- 700 o C) was performed ex-situ using X-Ray Diffraction (XRD). The optimum substrate

More information

6.8 Magnetic in-plane anisotropy of epitaxially grown Fe-films on vicinal Ag(001) and Au(001) with different miscut orientations

6.8 Magnetic in-plane anisotropy of epitaxially grown Fe-films on vicinal Ag(001) and Au(001) with different miscut orientations C. Epitaxial Growth 6.8 Magnetic in-plane anisotropy of epitaxially grown Fe-films on vicinal Ag(001) and Au(001) with different miscut orientations M. Rickart, A.R. Frank, J. Jorzick, Ch. Krämer, S.O.

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Recent progress in the growth of heteroepitaxial diamond for detector applications

Recent progress in the growth of heteroepitaxial diamond for detector applications Recent progress in the growth of heteroepitaxial diamond for detector applications 4th ADAMAS Workshop at GSI 2015-12-03 2015-12-04 Michael Mayr, Oliver Klein, Martin Fischer, Stefan Gsell, Matthias Schreck

More information

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 12, DECEMBER 2002 2217 Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods H. Watakabe and T. Sameshima Abstract Fabrication

More information

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates. ET3034TUx - 5.2.1 - Thin film silicon PV technology 1 Last week we have discussed the dominant PV technology in the current market, the PV technology based on c-si wafers. Now we will discuss a different

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5961/60/dc1 Supporting Online Material for Polarization-Induced Hole Doping in Wide Band-Gap Uniaxial Semiconductor Heterostructures John Simon, Vladimir Protasenko,

More information

Mechanical Properti es of ZnO:Mo Transparent Conducting Oxide Thin Film Prepared by Sputtering

Mechanical Properti es of ZnO:Mo Transparent Conducting Oxide Thin Film Prepared by Sputtering CHINESE JOURNAL OF PHYSICS VOL. 51, NO. 3 June 2013 Mechanical Properti es of ZnO:Mo Transparent Conducting Oxide Thin Film Prepared by Sputtering Y. C. Lin, C. C. Chen, and W. Y. Lai Department of Mechatronics

More information

Development of diamond coated tool and its performance in machining Al 11%Si alloy

Development of diamond coated tool and its performance in machining Al 11%Si alloy Bull. Mater. Sci., Vol. 25, No. 6, November 2002, pp. 487 491. Indian Academy of Sciences. Development of diamond coated tool and its performance in machining Al 11%Si alloy B SAHOO, A K CHATTOPADHYAY*

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Polycrystalline and microcrystalline silicon

Polycrystalline and microcrystalline silicon 6 Polycrystalline and microcrystalline silicon In this chapter, the material properties of hot-wire deposited microcrystalline silicon are presented. Compared to polycrystalline silicon, microcrystalline

More information

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors& ! Basic&Laboratory&! Materials&Science&and&Engineering& Etching&of&Semiconductors& M104&!!!as!of:!31.10.2013!! Aim: To gain a basic understanding of etching techniques, characterization, and structuring

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

Evolution of atomic-scale roughening on Si(001)-(2 1) surfaces resulting from high temperature oxidation

Evolution of atomic-scale roughening on Si(001)-(2 1) surfaces resulting from high temperature oxidation Evolution of atomic-scale roughening on Si(001)-(2 1) surfaces resulting from high temperature oxidation J. V. Seiple and J. P. Pelz Department of Physics, The Ohio State University, Columbus, Ohio 43210

More information

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate Chizuru Ohshima*, Ikumi Kashiwagi*, Shun-ichiro Ohmi** and Hiroshi Iwai* Frontier Collaborative Research Center* Interdisciplinary

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Microstructure-Properties: I Lecture 5B The Effect of Grain Size. on Varistors

Microstructure-Properties: I Lecture 5B The Effect of Grain Size. on Varistors 1 Microstructure-Properties: I Lecture 5B The Effect of on 27-301 October, 2007 A. D. Rollett 2 This lecture is concerned with the effects of grain size on properties. This is the second of two examples:

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition Nuclear Instruments and Methods in Physics Research B 206 (2003) 357 361 www.elsevier.com/locate/nimb Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted

More information

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Cynthia N. Ginestra 1 Michael Shandalov 1 Ann F. Marshall 1 Changhyun Ko 2 Shriram Ramanathan 2 Paul C. McIntyre 1 1 Department

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

7 µc-si:h n-i-p solar cells on textured Ag ZnO:Al back reflectors

7 µc-si:h n-i-p solar cells on textured Ag ZnO:Al back reflectors 7 µc-si:h n-i-p solar cells on textured Ag ZnO:Al back reflectors 7.1 Introduction The present study on ZnO:Al and textured Ag back reflectors is aimed at application in thin film µc-si n-i-p solar cells.

More information

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers The 5th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS Si Symposium), Nov. 10-14, 2008, Kona, Hawaii, USA Growth and characterization of tensile strained Ge on Ge

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1 Characterization of precursor coated on salt template. (a) SEM image of Mo precursor coated on NaCl. Scale bar, 50 μm. (b) EDS of Mo precursor coated on

More information

Electrical characterization of ZnO ceramics by scanning tunneling spectroscopy and beam-induced current in the scanning tunneling microscope

Electrical characterization of ZnO ceramics by scanning tunneling spectroscopy and beam-induced current in the scanning tunneling microscope Electrical characterization of ZnO ceramics by scanning tunneling spectroscopy and beam-induced current in the scanning tunneling microscope C. Díaz-Guerra and J. Piqueras Citation: J. Appl. Phys. 86,

More information

Development of New Generation Of Coatings with Strength-Ductility Relationship, Wear, Corrosion and Hydrogen Embrittlement Resistance Beyond the

Development of New Generation Of Coatings with Strength-Ductility Relationship, Wear, Corrosion and Hydrogen Embrittlement Resistance Beyond the Development of New Generation Of Coatings with Strength-Ductility Relationship, Wear, Corrosion and Hydrogen Embrittlement Resistance Beyond the Current Materials Accomplishments till date As the structural

More information

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P + Chapter 2 roblems 2.1 Sketch a process flow that would result in the structure shown in Figure 1-34 by drawing a series of drawings similar to those in this chapter. You only need to describe the flow

More information

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

Synthesis and electron field emission of nanocrystalline diamond thin films grown from N 2 /CH 4 microwave plasmas

Synthesis and electron field emission of nanocrystalline diamond thin films grown from N 2 /CH 4 microwave plasmas Synthesis and electron field emission of nanocrystalline diamond thin films grown from N 2 /CH 4 microwave plasmas D. Zhou, a),b) A. R. Krauss, L. C. Qin, T. G. McCauley, and D. M. Gruen Materials Science

More information

Lei Zhao, Chunlan Zhou, Hailing Li, Hongwei Diao, and Wenjing Wang

Lei Zhao, Chunlan Zhou, Hailing Li, Hongwei Diao, and Wenjing Wang CHINESE JOURNAL OF PHYSICS VOL. 48, NO. 3 June 2010 Characterization on the Passivation Stability of HF Aqueous Solution Treated Silicon Surfaces for HIT Solar Cell Application by the Effective Minority

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Grains and grain boundaries in highly crystalline monolayer molybdenum disulphide Supplementary Figure S1: Commonly-observed shapes in MoS 2 CVD. Optical micrographs of various CVD MoS2 crystal shapes

More information

The next thin-film PV technology we will discuss today is based on CIGS.

The next thin-film PV technology we will discuss today is based on CIGS. ET3034TUx - 5.3 - CIGS PV Technology The next thin-film PV technology we will discuss today is based on CIGS. CIGS stands for copper indium gallium selenide sulfide. The typical CIGS alloys are heterogeneous

More information

Synthesis of diamond-like carbon films with super-low friction and wear properties

Synthesis of diamond-like carbon films with super-low friction and wear properties Synthesis of diamond-like carbon films with super-low friction and wear properties MSE 676 All Things Carbon / 09-29-2009 A. Erdemir, O.L. Eryilmaz, and G. Fenske J. Vac. Sci. Technol. A 18(4), Jul/Aug

More information

The Effect of Annealing Heat Treatment on Structural and Optical Properties of Ce-doped ZnO Thin Films

The Effect of Annealing Heat Treatment on Structural and Optical Properties of Ce-doped ZnO Thin Films 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) The Effect of Annealing Heat Treatment on Structural and Optical Properties of Ce-doped Thin Films

More information

Effective Mg activation for p-type GaN in mixed gas ambient of oxygen and nitrogen Wei Lu 1,2, David Aplin 2, A. R. Clawson 2 and Paul K. L.

Effective Mg activation for p-type GaN in mixed gas ambient of oxygen and nitrogen Wei Lu 1,2, David Aplin 2, A. R. Clawson 2 and Paul K. L. Effective Mg activation for p-type GaN in mixed gas ambient of oxygen and nitrogen Wei Lu 1,2, David Aplin 2, A. R. Clawson 2 and Paul K. L. Yu 2 1 Zhejiang University, Zhejiang, PRC 2 Calit2, University

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Low temperature photoluminescence characteristics of Zn-doped InP grown by metalorganic chemical vapor deposition

Low temperature photoluminescence characteristics of Zn-doped InP grown by metalorganic chemical vapor deposition JOURNAL OF APPLIED PHYSICS VOLUME 83, NUMBER 4 15 FEBRUARY 1998 Low temperature photoluminescence characteristics of Zn-doped InP grown by metalorganic chemical vapor deposition Youngboo Moon, Sangkee

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

The effect of ion milling on the morphology of ramp-type Josephson junctions

The effect of ion milling on the morphology of ramp-type Josephson junctions Journal of MATERIALS RESEARCH Welcome Comments Help The effect of ion milling on the morphology of ramp-type Josephson junctions Dave H. A. Blank and Horst Rogalla Low Temperature Division, Department

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Interface potential measurement with electron spectroscopic method

Interface potential measurement with electron spectroscopic method Journal of Surface Analysis Vol.13 No. 2 (2006) pp. 185-189 Interface potential measurement with electron spectroscopic method Michiko Yoshitake * and Weijie Song National Research Institute for Materials

More information