ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

Size: px
Start display at page:

Download "ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION"

Transcription

1 ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ROEL GRONHEID, IVAN POLLENTIER (IMEC) TODD YOUNKIN (INTEL) MARK SOMERVELL, KATHLEEN NAFUS, JOSH HOOGE, BEN RATHSACK, STEVEN SCHEER (TOKYO ELECTRON) PAULINA RINCON DELGADILLO, PAUL NEALEY (UNIVERSITY OF WISCONSIN) 1

2 OUTLINE IMEC DSA project objectives Grapho-epitaxy results Chemo-epitaxy results Summary 2

3 OBJECTIVE OF DSA WORK AT IMEC Accelerate learning on DSA through: 1. High material flexibility in 300mm R&D environment 2. Access to state-of-the-art tool sets for litho, etch, SEM and defect inspection all under one roof Determine drawbacks and benefits of pre-patterning strategies Grapho vs Chemo-Epitaxy Determine critical parameters for robust patterning in directed self-assembly Required process characteristics, process windows Sensitivities of flows to material properties Success criteria: Resolution and defect density/pattern fidelity 3

4 FLOW FOR FINGERPRINT PATTERNS Coat neutral layer Coat BCP neutral perpendicular orientation non-neutral parallel orientation anneal Easy test to check surface neutrality and BCP periodicity 4

5 DSA FREQUENCY ANALYSER Image with DSA random patterns 1D rotational average of Power Spectrum Smaller 1D-range to fit the peak 2D Power spectrum Peak position and width are calculated 5

6 IMPACT OF IMAGE MAGNIFICATION Magnification does not impact 100k extracted BCP L 0 50k 1350nm 30k 2700nm 28.27nm 4500nm 28.15nm 28.13nm Lower magnification is better for optimal peak fitting Trade-off with image contrast 6

7 GRAPHO-EPITAXY FLOW Coat BARC + neutral layer Pattern guide resist Coat BCP Harden resist anneal dry develop Optimization of resist thickness in pre-pattern proved crucial for good pattern fidelity 7

8 BCP PATTERNING AND PATTERN TRANSFER BCP L 0 =28nm Wafers shipped to TEL/Yamanashi for BCP etch Patterns are transferred successfully; further optimization ongoing imec TEL/Yamanashi Careful analysis on etched samples will be critical in the absence of 3D metrology for BCP patterns 8

9 RESULTS THROUGH PRE-PATTERN DOSE Through focus = -0.26mm 47mJ/cm2 49mJ/cm nm 51mJ/cm nm 53mJ/cm nm 121.8nm 55mJ/cm nm BCP L0=25nm 9

10 RESULTS THROUGH PRE-PATTERN FOCUS Through dose = 51mJ/cm2 NF 120nm NF 90nm NF 60nm NF 30nm NF BCP L0=25nm 10

11 BLOCK CO-POLYMER VARIABILITY BCP materials from Polymer Source that are nominally identical show significant batch-to-batch variability BCP materials from known semiconductor materrial suppliers are now becoming available Need to run quality control (including frequency analysis) 28nm pitch 20nm pitch 11

12 +BCP Pre-pattern As 1X PROGRAMMED PRE-PATTERN DEFECT No Defect 4 nm Protrusion Error 10 nm Protrusion Error 40 nm Protrusion Error Add Image 12

13 8nm PROTRUSION DEFECTS 250nm pitch pre-pattern 13

14 6nm PROTRUSION DEFECTS 250nm pitch pre-pattern 14

15 LIFT-OFF CHEMO-EPITAXY FLOW Pattern resist on BARC Harden resist Lift-off Coat neutral layer Coat BCP anneal 15

16 FT=59nm FT=90nm FILM THICKNESS REDUCTION 90nm pitch pre-pattern BCP L 0 =28nm FT = 40nm BCP 31nm BCP 16

17 UW CHEMO-EPITAXY FLOW Coat mat Pattern resist Coat + graft neutral brush Strip resist Trim + mat etch Rinse Coat BCP anneal 17

18 UW FLOW RESULTS 100nm pitch pre-pattern BCP L 0 =25nm 250kX 100kX 50kX 18

19 GRAPHO- VS CHEMO-EPITAXY Grapho-epitaxy Guiding structures consume surface area Less complex flow High frequency multiplication rate demonstrated CD/pitch determined by BCP bulk domain size (MW) For frequency multiplication small process window for pre-pattern Most suited for contact hole CDU shrink/repair Chemo-epitaxy Guiding structures under final patterns Complex flow Frequency multiplication <4-5X Many options to adjust thermodynamics for process optimization Most suited for frequency multiplication, LWR repair 19

20 SUMMARY Infrastructure to rapidly learn about DSA defectivity is available at imec Process flows for Grapho- and Chemo-epitaxy are available and under further optimization Grapho-epitaxy will target contact hole shrink and CDU repair Chemo-epitaxy will target frequency multiplication and LWR repair Need for 3D metrology to determine BCP profiles 20

21 ACKNOWLEDGMENTS On-site TEL team at imec Eiichi Nishimura and Fumiko Yamashita at TEL/TML TOK JSR AZ Electronic Materials 21

DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool

DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool Ryota Harukawa a, Masami Aoki a, Andrew Cross a, Venkat Nagaswami a, Tadatoshi Tomita b, Seiji Nagahara c, Makoto Muramatsu b, Shinichiro

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow

Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow Hari Pathangi a*, Boon Teik Chan a, Hareen Bayana a, Nadia Vandenbroeck a, Dieter Van Den Heuvel a, Lieve Van Look

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Advanced Lithography Updates and Challenges for Metrology and Inspection

Advanced Lithography Updates and Challenges for Metrology and Inspection Advanced Lithography Updates and Challenges for Metrology and Inspection Center for Semiconductor Research & Development Advanced Lithography Process Technology Dept. Tatsuhiko Higashiki Contents Device

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Yuanmei Cao, Kimberly Pollard, Travis Acra, Nichelle Gilbert, Richie Peters, Donald Pfettscher Abstract Negative

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

New Materials and Processes for Directed Self-Assembly

New Materials and Processes for Directed Self-Assembly New Materials and Processes for Directed Self-Assembly Shih-Wei Chang a, Jessica P. Evans c, Shouren Ge c, Valeriy V. Ginzburg b, John W. Kramer b, Brian Landes b, Christopher Lee a, Greg Meyers b, Daniel

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved SECTION 08 87 13 WINDOW FILM Display hidden notes to specifier. (Don't know how? Click Here) Copyright 2008-2010 ARCAT, Inc. - All rights reserved PART 1 GENERAL 1.1 SECTION INCLUDES A. Safety and Security

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions Abstract The recent installation of a new etch tool, the Surface

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

Photoresist-induced development behavior in DBARCs

Photoresist-induced development behavior in DBARCs Photoresist-induced development behavior in DBARCs Jim D. Meador, Alice Guerrero, Joyce A. Lowes, Charlyn Stroud, Brandy Carr, Anwei Qin, Carlton Washburn, and Ramil-Marcelo L. Mercado Brewer Science,

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

If it moves, chop it in half, then simulate it

If it moves, chop it in half, then simulate it Interactions of Double Patterning Technology with wafer processing, OPC and design flows Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved SECTION 08 87 13 WINDOW FILM Display hidden notes to specifier. (Don't know how? Click Here) Copyright 2008-2010 ARCAT, Inc. - All rights reserved PART 1 GENERAL 1.1 SECTION INCLUDES A. Safety and Security

More information

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering Microelectronics Journal 37 (2006) 1481 1485 www.elsevier.com/locate/mejo Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering T.I. Kamins a,, A.A. Yasseri a,1, S. Sharma a,2, R.F.W.

More information

ZERO DEFECTS Entegris Newsletter

ZERO DEFECTS Entegris Newsletter July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application

More information

Additive Circuit Technology Roadmap for HDD Suspension

Additive Circuit Technology Roadmap for HDD Suspension Additive Circuit Technology Roadmap for HDD Suspension Speaker: Hitoki KANAGAWA Prepared by Y.Ooyabu Approved by T.Visit (PhD) NOTE; The contents of this data sheet are the exclusive property of NITTO

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Integrated Process Technology Development for the sub 7nm Era

Integrated Process Technology Development for the sub 7nm Era Integrated Process Technology Development for the sub 7nm Era July 12, 2017 Alex Oscilowski President TEL Technology Center, America, LLC. TEL s Global R&D Operations Korea U.S. imec (Belgium) TEL Technology

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

DuPont Riston Special FX Series - FX515

DuPont Riston Special FX Series - FX515 DuPont Riston Special FX Series - FX515 DATA SHEET & PROCESSING INFORMATION For Fine Line Print and Etch in Photochemical Machining and PWB Applications Product Features/ Applications Negative working,

More information

Nano-Processing for High Voltage and High Power Devices. J. Parsey March 21, 2013

Nano-Processing for High Voltage and High Power Devices. J. Parsey March 21, 2013 Nano-Processing for High Voltage and High Power Devices J. Parsey March 21, 2013 Outline Background concepts Two nano ideas: New high voltage, high power FET device designs Application of nano-particles

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Rapid Cleaning Using Novel Processes With Coa7ngs

Rapid Cleaning Using Novel Processes With Coa7ngs Rapid Cleaning Using Novel Processes With Coa7ngs Alex Brewer and John Moore Daetec, LLC 1227 Flynn Rd., Unit 310 Camarillo CA 93012 www.daetec.com jmoore@daetec.com Surface PreparaHon and Cleaning Conference

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

Optimization of Thick Negative Photoresist for Fabrication of Interdigitated Capacitor Structures

Optimization of Thick Negative Photoresist for Fabrication of Interdigitated Capacitor Structures ARL-TR-7258 APR 2015 US Army Research Laboratory Optimization of Thick Negative Photoresist for Fabrication of Interdigitated Capacitor Structures by Erik Enriquez, D Shreiber, E Ngo, M Ivill, SG Hirsch,

More information

Applied Research for Vacuum Web Coating: What is Coming Next?

Applied Research for Vacuum Web Coating: What is Coming Next? Applied Research for Vacuum Web Coating: What is Coming Next? Matthias Fahland, John Fahlteich, Steffen Günther, Manuela Junghähnel, Claus Luber, Nicolas Schiller, Cindy Steiner, Steffen Straach, Michiel

More information

FY06 ACCOMPLISHMENTS. Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography

FY06 ACCOMPLISHMENTS. Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography FY06 ACCOMPLISHMENTS Nanoelectronics Manufacture, Inspection, and Repair using Thermal Dip Pen Nanolithography William P. King Georiga Institute of Technology FY06 was the second year of this grant, and

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

Critical Challenges in Materials Supply to Advanced Semiconductor Manufacturing Fabs

Critical Challenges in Materials Supply to Advanced Semiconductor Manufacturing Fabs Critical Challenges in Materials Supply to Advanced Semiconductor Manufacturing Fabs Dr. Anish Tolia, Head of Global Marketing, Linde Electronics May 22, 2015 Key factors in gas supply. New materials,

More information

Shear Bands in Glassy Amorphous Polymers

Shear Bands in Glassy Amorphous Polymers Shear Bands in Glassy Amorphous Polymers Shear banding in tension or compression. Neck formation via shear bands (a) (b) (c) (d) Stress Image removed due to copyright restrictions. Please see Fig. 12a

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Photoresist and Process Issues on 193nm Immersion Lithography

Photoresist and Process Issues on 193nm Immersion Lithography Photoresist and Process Issues on 193nm Immersion Lithography Jin-Young Yoon, Jung-Hwan Hah, Yun-Kyung Jang, Mitsuhiro Hata, Hyung-Rae Lee, Chan Hwang, Young-Jae Jung, Shi-Yong Lee, Yool Kang, Hyun-Woo

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance Novel olyphenol Base Molecular Resist Having High Thermal Resistance Taku Hirayama, Takeyoshi Mimura, Jun Iwashita, Makiko Irie, Daiju hiono, Hideo Hada and Takeshi Iwai TKY KA KGY C., LTD. 2008 International

More information

the SureCoat Roof System.

the SureCoat Roof System. 1 The SureCoat Roof System General Application Essentials CONDITION OF ROOF BEFORE APPLICATION 1. Roof must be structurally sound and leak-free (meaning all SureCoat repairs to leaking areas must be made

More information

Heidelberg Laser Writer AZ300MIF Developer Use Guide

Heidelberg Laser Writer AZ300MIF Developer Use Guide Heidelberg Laser Writer AZ300MIF Developer Use Guide Tool Owner: Sen Liu x8-0468, Cell: 732-325-7251 Backup Owner: Pat Watson x8-4626, Cell 732-996-2713 Introduction AZ400K: Existing developer for Heidelberg

More information

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved SECTION 08 87 13 WINDOW FILM Display hidden notes to specifier. (Don't know how? Click Here) Copyright 2008-2010 ARCAT, Inc. - All rights reserved PART 1 GENERAL 1.1 SECTION INCLUDES A. Safety and Security

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2016 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

DIVISION: THERMAL AND MOISTURE PROTECTION SECTION: WATER RESISTIVE BARRIERS/WEATHER BARRIERS REPORT HOLDER: PAREX USA, INC.

DIVISION: THERMAL AND MOISTURE PROTECTION SECTION: WATER RESISTIVE BARRIERS/WEATHER BARRIERS REPORT HOLDER: PAREX USA, INC. 0 Most Widely Accepted and Trusted ICC ES Evaluation Report ICC ES 000 (800) 423 6587 (562) 699 0543 www.icc es.org ESR 2045 Reissued 01/2017 This report is subject to renewal 01/2019. DIVISION: 07 00

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components

SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components Used by high temperature thin film superconductor researchers worldwide! Purity better than 99.9%! Choose

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Monte Carlo approach to island formation during thermal treatment of thin films*

Monte Carlo approach to island formation during thermal treatment of thin films* Monte Carlo approach to island formation during thermal treatment of thin films* Ann Marie Hardin December 6, 2006 *F. Lallet, R. Bachelet, A. Dauger, and N. Olivi-Tran, (2006) Physical Review B, 74 Outline

More information

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved

SECTION WINDOW FILM. Display hidden notes to specifier. (Don't know how? Click Here) Copyright ARCAT, Inc. - All rights reserved SECTION 08 87 13 WINDOW FILM Display hidden notes to specifier. (Don't know how? Click Here) Copyright 2008-2010 ARCAT, Inc. - All rights reserved PART 1 GENERAL 1.1 SECTION INCLUDES A. Safety and Security

More information

New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry

New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry by Tomoyuki Aogaki *, Hidefumi Miyagi * and Yoshihisa Kano * We propose new evaluation methods for

More information

Scanning Electron Microscope Examination of Airxchange Enthalpy Exchange Surface

Scanning Electron Microscope Examination of Airxchange Enthalpy Exchange Surface Scanning Electron Microscope Examination of Airxchange Enthalpy Exchange Surface Report to Airxchange, Inc. Rockland, MA March 30, 1998 Arthur D. Little, Inc. Acorn Park Cambridge, Massachusetts 02140-2390

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Batch Wet Processing System for Heterojunction Solar Cells

Batch Wet Processing System for Heterojunction Solar Cells Batch Wet Processing System for Heterojunction Solar Cells Modular, Automated Wet Processing System for Batch Cleaning and Etching for Heterojunction (HJT) Solar Cells provides complete automated dry-in/dry-out

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Cleaning samples. Options available. » Do not use organic. » Never, never, use squeeze. » Use detergents instead e.g. » Carbon Dioxide snow

Cleaning samples. Options available. » Do not use organic. » Never, never, use squeeze. » Use detergents instead e.g. » Carbon Dioxide snow Sample Preparation Cleaning samples» Do not use organic solvents as these are always contaminated, even when fresh electronic grade» Never, never, use squeeze or spray bottles» Use detergents instead e.g.

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Creating Greater Capacity on Smaller Spaces

Creating Greater Capacity on Smaller Spaces Creating Greater Capacity on Smaller Spaces Manufacturability of Pattern Media Babak Heidari, Obducat, Sweden Obducat Overview Company Background Founded in 1989 Facilities in Sweden (HQ) and in Cambridge

More information

Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient

Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient Optical Surface Inspection for Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient Leading the Way in Aluminium & Non-Ferrous Process Improvement BEYOND INSPECTION MORE Than Just

More information