Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Size: px
Start display at page:

Download "Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities"

Transcription

1 Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005

2 Summary This paper describes a viable approach to rapid costeffective development of advanced CMP consumables, specifically a tungsten CMP polishing pad, utilizing an outsource CMP foundry to generate virtually all wafer-level data. From screening of initial materials through final process optimization and marathon runs, the availability of a fully capable outsource CMP provider allowed the project to proceed faster and at lower overall cost than could have been achieved with only internal resources. NOTE: Entrepix provides wafer-level testing and other development support services to psiloquest on a work-for-hire basis. No other type of partnership or distribution arrangement exists between the two companies. Any inquiries regarding psiloquest products (pricing, availability, performance, etc.) must be directed to psiloquest.

3 Pieces of the CMP development puzzle Expertise: - Highly trained and experienced technical staff - Understanding of materials science, chemistry, tribology, and more - Support staff for infrastructure, maintenance, etc. Equipment: - Major capital investment - Process tools: Polisher & Cleaner - Metrology: film thickness, defect inspection, surface topography, etc. - Ongoing expense to maintain Cleanroom: - Minimum of Class 100, preferably Class 10 or Class 1 - Required support systems include: DI water, compressed air, waste water treatment, nitrogen, etc. - Substantial overhead cost even when idle or unused Consumables and Test Wafers: - New materials require new slurries - Pad optimization can be difficult - Requires in-depth expertise - Access to large supply base is extremely helpful in early testing

4 Typical Product Development Funnel Product Concepts or Ideas 100 Ideas 10 Brainstorming Screening N E E D Numbers represent averages across multiple industries 4 2 Development Optimization 1 Commercial Product Launch S P E E D

5 Why Outsource CMP Development? Faster Execution - Tap into existing facility, equipment, and process technology - Reduce implementation time by 12 months or more Lower Risk - Leverage technical expertise and known baseline processes Lower Cost - Zero capital required - Low unit costs even for low to moderate volume production

6 Screening A simple tabletop polisher and a standardized set of polishing conditions allows rapid, low-cost comparison of early formulations using small pad samples only 12 in diameter. Only those pad materials that pass this early screening for rate and surface scratching are then made in larger format samples required for testing in the cleanroom on 200mm CMP tools. Removal Rate (Å/min) LQ800 2% LECO Table Top Polisher Cabot SS W2000 Tungsten Slurry [H2O2] = 2% and 4%, Dilution = 1:1 Down Force = 70 lb Table Speed = 90 rpm Carrier Speed = 95 rpm Slurry Flow Rate = 120 ml/min TQ1000 2% % LECO Screening of Pad Materials % TQ800 2% LQ800 4% Pad Material and [H2O2] TQ1000 4% % Final Ranking 1) TQ800 2) ) ) LQ800 5) TQ % TQ800 4%

7 Development Once a small number of potential pad candidates were identified, waferlevel data was generated to assess performance compared to target values ASP W-3850 Tungsten Polishing Pad Erosion Evaluation Oxide Erosion as f(df{7 & 5}), TS{85 & 100}, Oxidizer{80%, 60%, 50%}) Fujimi FCW-1: 1 : x :(1-x) slurry : oxidizer : H2O IPEC 372 at TFS. Polishing Conditions = various Break-in: 5 W for 60 sec Feature Size = 0.18-micron Typical wafer-level metrics: (some vary by material) Erosion (Å) Center Edge Removal rate Within-wafer nonuniformity Wafer-to-wafer variation Dishing Erosion Field loss Defectivity Residual contamination DF-7, TS-85, SF-120; [Ox]=80% DF-5, TS-100, SF-110; [Ox]=80% DF-7, TS-85, SF-120; [Ox]=60% DF-7, TS-100, SF-120; [Ox]=60% Polisher Settings and Oxidizer Concentration DF-7, TS-100, SF-120; [Ox]=50% The graph shown above is an example of patterned wafer data for erosion on a specific tungsten plug array. The target value of less than 150Ang erosion was achieved, and the dataset can be used to guide customers in their early process starting point decisions.

8 Optimization Consumables customers generally demand proof of process stability in a marathon run, which Entrepix can provide Tungsten Removal Rate and WIWNU ASP W-3870 Polishing Pad 14 In this first attempt, a decrease in removal rate was observed after roughly 300 minutes of polishing. Process troubleshooting quickly led to a solution in the form of a gentle brush conditioner. The data clearly shows the desired recovery and subsequent runs are underway to prove long-term viability of this approach. Removal Rate (Å/min) IPEC 472 at TFS Fujimi FCW-1 at optimized blend ratio Down Force = 7 psi, BP = 2 psi Table Speed = 85 rpm, Carrier Speed = 80 rpm Slurry Flow Rate = 120 ml/min Begin nylon brush conditioning Force = 4 lb Rotation = 50 rpm Cumulative Polishing Time for Pad (min) Removal Rate WIWNU (%) WIWNU

9 Conclusions Outsourced CMP on this specific pad development project accomplished the following: 1. Simplified the customer s internal project team and leveraged the expertise of Entrepix as an the outsource CMP provider. 2. Substantially shortened the project timeline. 3. Provided access to fully capable process and metrology tools with zero capital outlay from the customer (psiloquest). 4. Generated an independent data package that can be shared openly at psiloquest s discretion without violating any customer confidentiality agreements.

10 Contact Information Bob Tucker Vice President & General Manager Tel: Fax: Rob Rhoades Chief Technology Officer Tel: Fax:

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Presentation for the Levitronix Conference February 1,

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014 3M TM Trizact TM Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications March 19, 214 J. Zabasajja, V. Laraia, M. Fritz, J. Sokol and Junqing Xie 3M Electronics Markets Material Division,

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Slurry Design Evolution Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012 Outline Introduction to Slurry Design Birth of a Sub-Industry (Early Years) Slurry Evolution and Revolution

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

POLI- 500, POLI-762 Quick Overview

POLI- 500, POLI-762 Quick Overview POLI- 500, POLI-762 Quick Overview G&P Technology Overview of POLI-500 for 4 ~ 8 Application 4-8 Wafer CMP Equipment - Head, Table : 30 ~ 200 rpm, Rotational motion - Head oscillation : ± 20mm - Size :

More information

Effect of Retaining Ring Slot Design & Polishing Conditions on Bow Wave Fluid Dynamics

Effect of Retaining Ring Slot Design & Polishing Conditions on Bow Wave Fluid Dynamics Effect of Retaining Ring Slot Design & Polishing Conditions on Bow Wave Fluid Dynamics Xiaoyan Liao 1, Yasa Sampurno 1,2, Adam Rice 1, Fransisca Sudargho 2, Yun Zhuang 1,2, Ara Philipossian 1,2 and Christopher

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP John Gagliardi, Richard Webb, Chris Rueb - 3M Corporation Greg Menk, Pete McReynolds, Gopal Prabhu, Tom Osterheld - Applied

More information

CMP Pump Effects on Filter Life

CMP Pump Effects on Filter Life CMP Pump Effects on Filter Life Rakesh K. Singh, Ph.D., P.E. Mykrolis Corporation Mykrolis Corporation, Rakesh K. Singh 1 Acknowledgments Slurry manufacturers for providing CMP slurry and abrasive dispersion

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Budge Johl, DOW Electronic Materials 2011 Levitronix Users Group Conference May 11, 2011 Introduction As device line widths

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Slurry Handling, Troubleshooting and Filtration Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Key CMP Issues & Their Causes Three key CMP issues encountered in field: High defects/microscatches

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009

Balancing Technical and Business Challenges in CMP R&D. Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Balancing Technical and Business Challenges in CMP R&D Robert L. Rhoades, Ph.D. CAMP Conference (Lake Placid, NY) August 10-12, 2009 Outline Background and Business Climate for CMP STORM Development CMP

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry

Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry Big Data Analytics and AI for Smart Manufacturing in Semiconductor Industry Kirk Hasserjian Corporate Vice President Applied Global Services Applied Materials November 13, 2018 SEMICON Europa 2018 Smart

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT?

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT? Hardware and Process Solutions to Evolving CMP Needs - or - CMP Challenges How Can We Polish THAT? Robert L. Rhoades (Entrepix) and Paul M. Feeney (Axus Technology) Presented at TechXPOT North - Semicon

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets Christopher Borst College of Nanoscale Science and Engineering Albany, NY 450mm Wafer / Technology

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans Anthony Rardin and Simon Kirk 1 Dr. Mel Zussman 2 1 DuPont Wafer

More information

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization Jesse Cornely 1, Chris Rogers 1, Vincent P. Manno 1 and Ara Philipossian 2 1 Tufts University, Department of Mechanical Engineering

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Budge Johl and Hethel Porter 2008 4 th Annual Levitronix CMPUG Symposium Rohm and Haas Electronic Materials

More information

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM 490 Etch Recipes. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM 490 Etch Recipes Dr. Lynn Fuller Professor, Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 New CMP Applications And Opportunities for Improvement Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011 Outline Background TSV s Diamond CMP Opportunities for Improvement Summary

More information

Particle Characterization of Abrasives

Particle Characterization of Abrasives Particle Characterization of Abrasives Mark Bumiller mark.bumiller@horiba.com Factors Affecting Abrasion Mechanics Difference in hardness between the two substances: a much harder abrasive will cut faster

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Thanks, Bill. Good morning, everyone, and thanks for joining us.

Thanks, Bill. Good morning, everyone, and thanks for joining us. Cabot Microelectronics Corporation 2007 Third Fiscal Quarter Earnings Report July 26, 2007 Good morning. This is Bill Johnson, Vice President and Chief Financial Officer for Cabot Microelectronics Corporation.

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process 1 A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process SFR Workshop & Review April 17, 2002 Jianfeng Luo, Runzi (Tiger) Chang and Professor David A. Dornfeld Berkeley,

More information

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Sidney Huey, Steven T. Mear, Yuchun Wang, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING Laurent Vésier, Senior Quality Engineer, Rohm and Haas Electronic Materials CMP Technologies, Newark, DE Jason Lawhorn, Product Engineering

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* 1 Fujimi Corporation, Oregon, USA 97062 2 Fujimi Incorporated, Gifu, Japan 509-0108 *Contacts: hli@fujimico.com,

More information

How Bad's the Damage?

How Bad's the Damage? How Bad's the Damage? Evaluating Probe Damage On Aluminum, Solder, Gold, UBM, and Copper Pads. Ken Smith Vice President of Technology Development Pyramid Probe Division, Cascade Microtech Goal of Presentation

More information

BPS Surfactant-Formulated, Multifunction Dicing Solutions

BPS Surfactant-Formulated, Multifunction Dicing Solutions BPS Surfactant-Formulated, Multifunction Dicing Solutions Lowering costs of ownership, improving yields A major breakthrough for silicon, BGA, and ceramics dicing applications. Dice with BPS! For dicing,

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Maskless Nanowriter HR001-06-03-0008 Post- CMP Defectivity is one of the major factors affecting its

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

OUTREACH AND COMMUNITY ENGAGEMENT

OUTREACH AND COMMUNITY ENGAGEMENT OUTREACH AND COMMUNITY ENGAGEMENT Lisa Higgins-Hord Assistant Vice President, Community Engagement Strategic Objective Leverage leading-edge technology, scholarship and research in innovative ways to advance

More information

Getting to the Factory of the Future

Getting to the Factory of the Future Patient-Specific Cell Therapy Getting to the Factory of the Future Robert A. Preti, PhD Chief Executive Officer and President, PCT General Manager, Global Regenerative Medicine Business Sector, Hitachi

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Chemical Mechanical Planarization (CMP) Slurry Manufacturing Customer Application Brief Chemical Mechanical Planarization (CMP) Slurry Manufacturing Introduction The Chemical Mechanical Planarization (CMP) process plays a key role in the manufacture of data storage,

More information

Dispersion Number Studies in CMP of Interlayer Dielectric Films

Dispersion Number Studies in CMP of Interlayer Dielectric Films G854 0013-4651/2003/150 12 /G854/7/$7.00 The Electrochemical Society, Inc. Dispersion Number Studies in CMP of Interlayer Dielectric Films Ara Philipossian*,z and Erin Mitchell Department of Chemical and

More information

CMP MARKETS & VALUE CHAIN PERSPECTIVES

CMP MARKETS & VALUE CHAIN PERSPECTIVES CMP MARKETS & VALUE CHAIN PERSPECTIVES MICHAEL CORBETT MANAGING PARTNER LINX CONSULTING MCORBETT@LINX-CONSULTING.COM A PRESENTATION FOR THE CMP USERS GROUP JULY 17, 2007 LINX CONSULTING OUTLINE 1. Introduction

More information

Tutorial on Chemical Mechanical Polishing (CMP)

Tutorial on Chemical Mechanical Polishing (CMP) Tutorial on Chemical Mechanical Polishing (CMP) Ara Intel Corporation 1999 Arizona Board of Regents for The University of Arizona 1 Outline of the Tutorial Section A: Overview Generalized schematics of

More information

Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage:

Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/~lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

A World Class Specialty Materials Company

A World Class Specialty Materials Company SEMICON KOREA MEDIA BRIEFING A World Class Specialty Materials Company Richard Hong President, Entegris Korea AGENDA 01 A World Class Specialty Materials Company 02 Entegris in Korea 03 Q&A 04 Meeting

More information

Managed IT Services. Eliminating technology pains in small businesses

Managed IT Services. Eliminating technology pains in small businesses Eliminating technology pains in small businesses Having a complete IT department is not a viable solution for most small businesses, and very few small companies can afford to deploy even one permanent

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Introducing Advanced PCMP Cleaning Solutions

Introducing Advanced PCMP Cleaning Solutions Introducing Advanced PCMP Cleaning Solutions With Surfactanized Metal Inhibitors and Oxygen Scavengers New Particle Remover By Geoffrey Yuxin Hu, Brizon Inc Lily Yao, Western Digital Corporation Contents

More information

A Passion. for problem-solving. the p.b.s. bonding process

A Passion. for problem-solving. the p.b.s. bonding process A Passion for problem-solving At Abrasive Technology, ingenuity is in our DNA. The company was founded on the belief that great ideas can revolutionize an industry. Forty years later, we re still focused

More information

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications

Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications Effective Post-TSV-DRIE Wet Clean Process for Through Silicon Via Applications Laura Mauer, John Taddei, John Clark, Kenji Nulman Veeco Precision Surface Processing Horsham, PA 19044 lmauer@veeco.com Abstract

More information

Managed IT Services. Eliminating technology pains for small businesses

Managed IT Services. Eliminating technology pains for small businesses Eliminating technology pains for small businesses Having a complete IT department is not a viable solution for most small businesses, and very few small companies can afford to deploy even one permanent

More information

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules TFS PRODUCTION SOLUTIONS TFS Production Solutions For thin-film solar modules 2 TFS PRODUCTION SOLUTIONS MANZ AG 3 TFS PRODUCTION SOLUTIONS MANZ AG GERMAN ENGINEERING INTERNATIONALLY STAGED GLOBAL REFERENCES

More information

PART 1, GENERAL 1.01 General requirements of the Project Manual shall apply to all work specified in this section.

PART 1, GENERAL 1.01 General requirements of the Project Manual shall apply to all work specified in this section. Adsil MicroGuard Advanced Siloxane Technology INTERIOR CONCRETE FLOOR - CLEAR SYSTEM SPECIFICATION DOCUMENT NUMBER AD1130-01 SECTION 09800 - SPECIAL CLEAR PROTECTIVE TREATMENT PART 1, GENERAL 1.01 General

More information

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates SPCC POST-CMP CONFERENCE High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates Daniela White*, PhD Sr. Principal Scientist Atanu Das, PhD Scientist Thomas Parson, PhD

More information