SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic

Size: px
Start display at page:

Download "SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic"

Transcription

1 SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic J. Chbili,3, Z. Chbili,, A. Matsuda, J. P. Campbell, K. Matocha 4, K. P. Cheung * ) NIST, MD ) George Mason University, VA 3) Laboratoire SSC, Faculté des Sciences et Techniques, Morocco 4) Monolith Semiconductor Inc, TX

2 Two years ago in this workshop.

3 3 Our normalized data shown last year Ln(-Ln(-F)) % 5% -4-5 % -6-7.% Assume power system with % failure probability in years Each system has multiple chips making each chip s failure probability even lower The extrinsic part of the failure distribution is what really counts. 3 TBD

4 Thick oxide data in the silicon world had similar problem. Ogier, J. L. et al., Solid State Dev. Res. Conf., ESSDERC '95. pp 99-3 > Effective thinning model does not work. > Analysis should be done with joint distributions. 4

5 LN(-LN(-F)) % % T 63 : 5s, β: LN(-LN(-F)) Typical extrinsic β value is < Failure rate decreases with time. Screening possible but not guaranteed. Effective thinning tends to have successful screening T 63 : 5s, β: 5-5 Stress Time [s] 4% extrinsic case If extrinsic β value > Failure rate increases with time. Screening impossible. Direct analysis leads to large intrinsic characteristic time underestimation... The extrinsic distribution with low beta determines failure. Failure fraction scaling: LN(-LN(-F)) % 5% ~6s ~9s LN(-LN(-F)) Active area scaling: Stress Time [s] 5

6 Small sample size can be very misleading when studying extrinsics Failure distributions of different sample sizes 4 k devices 5devices 4 Failure distributions of different sample sizes k devices 5devices Ln(-Ln(-F)) Ln(-Ln(-F)) E+ E+ E+ E+3 E+4 E+5 E+6 Tbd (s) - - E+ E+ E+ E+3 E+4 E+5 E+6 Tbd (s)

7 The literature is full of reports on the effect of contamination on oxide breakdown Yamabe, K. & Taniguchi, K., IEEE Trans. Electron Dev., 3(), 43 48(985). Uchida, H. et al. IEDM '9. pp Verhaverbeke, S. et al., IEDM '9. pp Vermeire, B. et al., IEEE Advanced Semiconductor Manufacturing Conf. pp Yamabe, K. & Taniguchi, K., IEEE J. Solid-State Circuits (), (3). Gui, D et al. Physical and Failure Analysis of Integrated Circuits, IPFA 8. pp - 4 Contamination tends to weaken the oxide substantially not a subtle effect lead to the idea of effective (localized) thinning model Lee, J., I. C. Chen, et al. Symposium on VLSI Technology 986 Since it does not work, we need a new model that can point the direction to improvement. - One that can explain why further improvement of cleaning does not work. 7

8 The lucky defect model for early breakdown failure Differentiate from contamination related extrinsic failures 8

9 Lucky Defect Model High-Field Stress High-Field Stress with lucky defect Current increases locally!!! Most oxide breakdown models are current driven. 9

10 How to quantify this? What kind of defect distribution can lead to the observed TDDB extrinsic tail?

11 Trap Assisted Tunneling is defined by the joint probability of : Tunneling into the defect (T ) Tunneling out from the defect (T )... Maximum when probabilities T and T are equal. when defect is at xx EE oooo = WW EE oooo Independently of the barrier height!! Important condition: Defect energy matches the electrons in the inversion layer.

12 TAT leads to higher current locally Evaluating the impact on lifetime must account for this. Area scaling: T BD = T BD A A /β + The weakest link model Net result: TT BBBB = TT BBBB JJ FFFF JJ FFFF + JJ TTTTTT AA AA DDDD /ββ = TT BBBB ηη AA AA DDDD /ββ Area (size) of the defect

13 AA DDDD? Cannot be a point with no dimension because of quantum confinement effect. If it was a point, there can be no energy level in it! For our model : nm². Physically reasonable Impacts very little the model results. 3

14 Uniform distribution with a density of defects 8 cm -3 (E OX = 8.3 MV/cm, x(e OX ) = nm ) Z (nm) Uniform Defect Distribution 4K Ln(-Ln(-F)) k devices - Uniform distribution of defects % %. %.5 Y (cm).5.5 X (cm) % T BD [s] % of the simulated devices experience early failures similar to the experimental results 4

15 Z(nm) Exponential distribution peak at the interface and zero at the gate with a density of defects 8 cm -3 (E OX = 8.3 MV/cm, x(e OX ) = nm ) Y(cm) Exponential Distribution 4K.5 X(cm).5 Ln(-Ln(-F)) k devices - Exponential distribution of defects % T BD [s] % %.95 % % of the simulated devices experience early failures Higher amount of lucky defects in this distribution. 5

16 Normal distribution centered at 5nm with.58 nm standard width and a defect density of 8 cm -3 (E OX = 8.3 MV/cm, x(e OX ) = nm ) Normal Defect Distribution 4K k devices - Normal distribution of defects Z(nm) %.5.5 Y(cm) X(cm) Normal Defect Distribution 4K Ln(-Ln(-F)) Z(nm) 5 T BD [s] 4 3 Y(cm).5 X(cm).5 6

17 Normal distribution centered at 5 nm with.9 nm standard width and a defect density of 8 cm -3 (E OX = 8.3 MV/cm, x(e OX ) = nm ) Normal Defect Distribution 4K Z(nm) Z(nm) Y(cm) X(cm) Normal Defect Distribution 4K.5 Ln(-Ln(-F)) k devices - Normal distribution of defects % % % T BD [s] Y(cm).5 X(cm).5 7

18 Normal distribution centered at.5 nm with.9 nm standard width and a defect density of 8 cm -3 (E OX = 8.3 MV/cm, x(e OX ) = nm ) Normal Defect Distribution 4K 5 Z(nm) Z(nm) Y(cm).5.5 X(cm) Normal Defect Distribution 4K Ln(-Ln(-F)) k devices - Normal distribution of defects % % - 3% % T BD [s] Y(cm).5 X(cm).5 8

19 CCoooooooooooooooooo Defects in the oxide contribute to the early failures Improvement of the oxide process growth 9

20 SiC MOSCAP fabricated in a silicon foundry Leverage the low extrinsic failure oxide technology.

21 Cumulative failure distribution for more than 75 SiC MOS caps showing no extrinsic failures (raw data) SiC nmos capacitors (4 x 5 um ) with 5 nm thermally grown SiO

22 Previously, we reported that SiO on SiC is quite similar to on Si C 8-35C Our data Log(T 63% ) C McPherson IEDM98 75C 9nm McPherson IEDM98 5 9nm Suehle TED97 4C nm Suehle TED97 4C (5nm) E OX (MV/cm)

23 Our new data is a lot better than our old data 9 Log(T 63% ) C 8-35C - 375C 5C C C 3C New E OX (MV/cm) 3

24 Our SiO on SiC is better than Si data! 9 8 5C Log(T 63% ) C 5C 3C McPherson IEDM98 75C 9nm McPherson IEDM98 5 9nm Suehle TED97 4C nm E OX (MV/cm) Suehle TED97 4C (5nm) How is it possible? 4

25 Silicon data for thicker oxide are influenced by extrinsic failures... LN(-LN(-F)) % 5% ~6s ~9s LN(-LN(-F)) In mid to late 9s, most companies figure out how to grow gate oxide with negligible extrinsic failures. However, by then there are very few studies of thick oxide grown using the new technology Stress Time [s] 5

26 Measured lifetime exhibits a steep field dependent activation energy (Not previously observed in SiC) 6

27 Thin oxide Thick oxide 7

28 Proc. 9th European Solid-State Device Research Conf., 999, pp356. Temperature effect is oxide thickness dependent 8

29 Measured lifetime exhibits a steep temperature dependent field acceleration (Not previously observed in SiC) Suehle et al. IRPS- 94, pp. 3.9 nm Yassine et al. EDL-, 39(999) 9

30 Can we explain why the slope is steeper for SiC? Log(T 63% ) C C 5C 3C McPherson IEDM98 75C 9nm McPherson IEDM98 5 9nm Suehle TED97 4C nm Suehle TED97 4C (5nm) E OX (MV/cm) 3

31 One potential source is extrinsic failure distribution that depends on stress condition. Oussalah et al. TED-54, 73(7) 3

32 Besides difference in extrinsic failures Part of it is due to sub-band energy different. EE jj = ħ mm 3 3 ππππf ss,eff jj F ss,eff = qq(ηηnn ss + NN dd )/εε Si or F ss,eff = ηηff ss ηη: weighting coefficient..75 for electrons in inversion,.8 for holes in accumulation For high oxide field, only the lowest sub-band matters For silicon, the longitudinal effective mass: m l =.98 For SiC, the transverse effective mass: m t =.4 3

33 .7 Barrier height from st subband.6 Barrier height [ev] Si SiC Oxide field [MV/cm] 33

34 FN tunneling Tunneling current [A].E-7.E-8.E-9.E-.E-.E-.E-3 SiC Si Si vs SiC Oxide field [MV/cm] Cuurent ratio, SiC/Si 5.E-4 5.E Oxide field [MV/cm] As field drops, the tunneling current for SiC drops faster exponentially. Steeper slope 34

35 Conclusion & 3C --- with high β C C Failure fraction scaling: Log(T63%) EOX (MV/cm) 5C 3C McPherson IEDM98 75C 9nm McPherson IEDM98 5 9nm Suehle TED97 4C nm Suehle TED97 4C (5nm) Lifetime factor for, times larger device.e-.e-3.e-5.e-7.e-9.e-.e-3.e-5.e-7.e-9.e-.e-3.e-5 For minimum processed capacitors. Active area scaling:,µ cm Shape factor β =, 35

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Development of Low Temperature Oxidation Process Using Ozone For VlSI Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance

Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance BOGDAN OFRIM, FLORIN UDREA, GHEORGHE BREZEANU, ALICE PEI-SHAN HSIEH Devices, circuits

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM

More information

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD. Journal of Electron Devices, Vol. 1, 2003, pp. 1-6 JED [ISSN: 1682-3427] Journal of Electron Devices www.j-elec-dev.org FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Non-charge Storage Resistive Memory: How it works

Non-charge Storage Resistive Memory: How it works Accelerating the next technology revolution Non-charge Storage Resistive Memory: How it works Gennadi Bersuker Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN

Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN Sai Rama Usha Ayyagari Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial fulfillment

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Study of a Thermal Annealing Approach for Very High Total Dose Environments

Study of a Thermal Annealing Approach for Very High Total Dose Environments Study of a Thermal Annealing Approach for Very High Total Dose Environments S. Dhombres 1-2, J. Boch 1, A. Michez 1, S. Beauvivre 2, D. Kraehenbuehl 2, F. Saigné 1 RADFAC 2015 26/03/2015 1 Université Montpellier,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

The Role of Physical Defects in Electrical Degradation of GaN HEMTs

The Role of Physical Defects in Electrical Degradation of GaN HEMTs The Role of Physical Defects in Electrical Degradation of GaN HEMTs Carl V. Thompson Dept. of Materials Science and Engineering, MIT Faculty Collaborators: Chee Lip Gan 2,3, Tomas Palacios 1, Jesus Del

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco.

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco. Memory Devices In Korea now, Samsung : 2010, 30nm 2Gb DDRS DRAM/DDR3 SRAM 2011, Invest US $12 bil. for 20nm & SysLSI. Hynix : 2010, 26nm MLC- NAND Flash 2011, 30nm 4Gb DRAM At 2020, the demands of computing

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Status Report: Optimization and Layout Design of AGIPD Sensor

Status Report: Optimization and Layout Design of AGIPD Sensor Status Report: Optimization and Layout Design of AGIPD Sensor Joern Schwandt, Jiaguo Zhang and Robert Klanner Institute for Experimental Physics, Hamburg University Jiaguo Zhang, Hamburg University 10th

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS RADIATION HARDNESS OF MEMRISTIVE SYSTEMS A. FANTINI ON BEHALF OF IMEC RRAM TEAM AND VU ISDE TEAM Workshop on Memristive systems for Space applications ESTEC - 30/04/2015 OUTLINE Introduction RRAM for space

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Assignment Questions

Assignment Questions HIGH SPEED DEVICES AND CIRCUITS Assignment Questions 1) Why Silicon Semiconductors are widely used in the VLSI applications? Hint: Refer Video on Introduction to Basic Concepts 2) What are the parameters

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Study of a-sige:h Films and n-i-p Devices used in High Efficiency Triple Junction Solar Cells.

Study of a-sige:h Films and n-i-p Devices used in High Efficiency Triple Junction Solar Cells. Study of a-sige:h Films and n-i-p Devices used in High Efficiency Triple Junction Solar Cells. Pratima Agarwal*, H. Povolny, S. Han and X. Deng. Department of Physics and Astronomy, University of Toledo,

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Basic Opamp Design and Compensation. Transistor Model Summary

Basic Opamp Design and Compensation. Transistor Model Summary Basic Opamp Design and Compensation David Johns and Ken Martin (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) slide of 37 General Constants Transistor charge Boltzman constant Transistor Model Summary

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Transmission Mode Photocathodes Covering the Spectral Range

Transmission Mode Photocathodes Covering the Spectral Range Transmission Mode Photocathodes Covering the Spectral Range 6/19/2002 New Developments in Photodetection 3 rd Beaune Conference June 17-21, 2002 Arlynn Smith, Keith Passmore, Roger Sillmon, Rudy Benz ITT

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Reliability enhancement of phase change

Reliability enhancement of phase change Reliability enhancement of phase change memory for neuromorphic applications SangBum Kim, Ph.D. Research Staff Member Novel memory and cognitive applications IBM T.J. Watson Research Center SangBum.Kim@us.ibm.com

More information

Nanosilicon single-electron transistors and memory

Nanosilicon single-electron transistors and memory Nanosilicon single-electron transistors and memory Z. A. K. Durrani (1, 2) and H. Ahmed (3) (1) Electronic Devices and Materials Group, Engineering Department, University of Cambridge, Trumpington Street,

More information

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Front. Optoelectron. 2015, 8(4): 445 450 DOI 10.1007/s12200-014-0451-1 RESEARCH ARTICLE Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Xiaoyue

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

MatCalc approach for the modelling of the vacancy concentration evolution

MatCalc approach for the modelling of the vacancy concentration evolution MatCalc approach for the modelling of the vacancy concentration evolution (MatCalc 6.00.0200) P. Warczok Outline Vacancy concentration Vacancies in solids - What is it all about? Influence on diffusion

More information

Abstract. Introduction

Abstract. Introduction Accelerating Silicon Carbide Power Electronics Devices into High Volume Manufacturing with Mechanical Dicing System By Meng Lee, Director, Product Marketing and Jojo Daof, Senior Process Engineer Abstract

More information

Schottky-barrier and MIS solar cells

Schottky-barrier and MIS solar cells Schottky-barrier and MIS solar cells (Metal-Insulator- Semiconductor) Steve Byrnes NSE 290 Final Presentation December 1, 2008 Outline Background on Schottky barriers Dark and light I-V curves, and effect

More information

OPTIMIZING DEMAND FULFILLMENT FROM TEST BINS. Brittany M. Bogle Scott J. Mason

OPTIMIZING DEMAND FULFILLMENT FROM TEST BINS. Brittany M. Bogle Scott J. Mason Proceedings of the 2009 Winter Simulation Conference M. D. Rossetti, R. R. Hill, B. Johansson, A. Dunkin and R. G. Ingalls, eds. OPTIMIZING DEMAND FULFILLMENT FROM TEST BINS Brittany M. Bogle Scott J.

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

On the Relationship between Semiconductor Manufacturing Volume, Yield, and Reliability

On the Relationship between Semiconductor Manufacturing Volume, Yield, and Reliability On the Relationship between Semiconductor Manufacturing Volume, Yield, and Reliability Microelectronics Reliability & Qualification Working Meeting February 8, 2017 Dr. Jeffrey Siddiqui, Dr. John Ortega,

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

Packaging Technologies for SiC Power Modules

Packaging Technologies for SiC Power Modules Packaging Technologies for SiC Power Modules Masafumi Horio Yuji Iizuka Yoshinari Ikeda ABSTRACT Wide bandgap materials such as silicon carbide (SiC) and gallium nitride (GaN) are attracting attention

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL BRIAN D. LEMME. B.S., University of Nebraska-Lincoln, 2000 A REPORT

NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL BRIAN D. LEMME. B.S., University of Nebraska-Lincoln, 2000 A REPORT NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL by BRIAN D. LEMME B.S., University of Nebraska-Lincoln, 2000 A REPORT submitted in partial fulfillment of the requirements for the degree

More information

CHAPTER 3. Quantitative Demand Analysis

CHAPTER 3. Quantitative Demand Analysis CHAPTER 3 Quantitative Demand Analysis Copyright 2014 McGraw-Hill Education. All rights reserved. No reproduction or distribution without the prior written consent of McGraw-Hill Education. Chapter Outline

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

Doris Ehrt and Doris Möncke. Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr. 6, D Jena, Germany,

Doris Ehrt and Doris Möncke. Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr. 6, D Jena, Germany, Charge transfer absorption of Fe 3+ and Fe + complexes and UV radiation induced defects in different glasses Doris Ehrt and Doris Möncke Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr.

More information

Radiation-induced depassivation of latent plasma damage

Radiation-induced depassivation of latent plasma damage Microelectronic Engineering 60 (2002) 439 450 www.elsevier.com/ locate/ mee Radiation-induced depassivation of latent plasma damage * a, a b,1 c d. Cellere, A. Paccagnella, L. Pantisano, M.. Valentini,

More information

TWO-DIMENSIONAL MODELING OF EWT MULTICRYSTALLINE SILICON SOLAR CELLS AND COMPARISON WITH THE IBC SOLAR CELL

TWO-DIMENSIONAL MODELING OF EWT MULTICRYSTALLINE SILICON SOLAR CELLS AND COMPARISON WITH THE IBC SOLAR CELL TWO-DIMENSIONAL MODELING OF EWT MULTICRYSTALLINE SILICON SOLAR CELLS AND COMPARISON WITH THE IBC SOLAR CELL Mohamed M. Hilali, Peter Hacke, and James M. Gee Advent Solar, Inc. 8 Bradbury Drive S.E, Suite,

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

III-V heterostructure TFETs integrated on silicon for low-power electronics

III-V heterostructure TFETs integrated on silicon for low-power electronics In the Quest of Zero Power: Energy Efficient Computing Devices and Circuits III-V heterostructure TFETs integrated on silicon for low-power electronics K. E. Moselund, M. Borg, H. Schmid, D. Cutaia and

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

Reliability of High-Voltage MnO 2 Tantalum Capacitors

Reliability of High-Voltage MnO 2 Tantalum Capacitors Reliability of High-Voltage MnO 2 Tantalum Capacitors Erik Reed, George Haddox KEMET Electronics Corporation, 2835 Kemet Way, Simpsonville, SC 29681 Phone: +1.864.963.6300, Fax: +1.864.228.4081 e-mail:

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Microstructure-Properties: I Lecture 5B The Effect of Grain Size. on Varistors

Microstructure-Properties: I Lecture 5B The Effect of Grain Size. on Varistors 1 Microstructure-Properties: I Lecture 5B The Effect of on 27-301 October, 2007 A. D. Rollett 2 This lecture is concerned with the effects of grain size on properties. This is the second of two examples:

More information

OPTIMIZATION OF A FIRING FURNACE

OPTIMIZATION OF A FIRING FURNACE OPTIMIZATION OF A FIRING FURNACE B. R. Olaisen, A. Holt and E. S. Marstein Section for Renewable Energy, Institute for Energy Technology P.O. Box 40, NO-2027 Kjeller, Norway email: birger.retterstol.olaisen@ife.no

More information

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Long-term reliability of SiC devices. Power and Hybrid

Long-term reliability of SiC devices. Power and Hybrid Long-term reliability of SiC devices Power and Hybrid Rob Coleman Business Development and Applications Manager TT electronics, Power and Hybrid Roger Tall Product Specialist Charcroft Electronics Ltd

More information

Modeling the Fabrication Process Flow of MOS Gas Sensor based on Surface Micro-machining Technology

Modeling the Fabrication Process Flow of MOS Gas Sensor based on Surface Micro-machining Technology Modeling the Fabrication Process Flow of MOS Gas Sensor based on Surface Micro-machining Technology Preeti Sikarwar 1, Shivani Saxena 2 1 (Student of M.Tech (VLSI), Department of Electronics Engineering,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Nonlinear Thickness and Grain Size Effects on the Thermal Conductivity of CuFeSe 2 Thin Films

Nonlinear Thickness and Grain Size Effects on the Thermal Conductivity of CuFeSe 2 Thin Films CHINESE JOURNAL OF PHYSICS VOL. 51, NO. 1 February 2013 Nonlinear Thickness and Grain Size Effects on the Thermal Conductivity of CuFeSe 2 Thin Films P. C. Lee, 1, 2, 3, M. N. Ou, 3 Z. W. Zhong, 3 J. Y.

More information

Increased Efficiency and Improved Reliability in ORing functions using Trench Schottky Technology

Increased Efficiency and Improved Reliability in ORing functions using Trench Schottky Technology Increased Efficiency and Improved Reliability in ORing functions using Trench Schottky Technology Davide Chiola, Stephen Oliver, Marco Soldano International Rectifier, El Segundo, USA. As presented at

More information

3.7GHz, Low Loss, 100MHz Bandwidth, Single Crystal, Aluminum Nitride on Silicon Carbide Substrate (AlN-on-SiC) BAW Filter

3.7GHz, Low Loss, 100MHz Bandwidth, Single Crystal, Aluminum Nitride on Silicon Carbide Substrate (AlN-on-SiC) BAW Filter 3.7GHz, Low Loss, 100MHz Bandwidth, Single Crystal, Aluminum Nitride on Silicon Carbide Substrate (AlN-on-SiC) BAW Filter Presented by Rama Vetury Akoustis Technologies, Inc. Outline of this Presentation

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

Ultrahigh Vacuum Plasma Oxidation in the Fabrication of Ultrathin Silicon Dioxide Films

Ultrahigh Vacuum Plasma Oxidation in the Fabrication of Ultrathin Silicon Dioxide Films Reports in Electron Physics 2000/24 Espoo, September 2000 Ultrahigh Vacuum Plasma Oxidation in the Fabrication of Ultrathin Silicon Dioxide Films Tero Majamaa Dissertation for the degree of Doctor of Science

More information

Piezoresistance in Silicon. Dr. Lynn Fuller Webpage:

Piezoresistance in Silicon. Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Piezoresistance in Silicon Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features

Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features Mostafizur Rahman, Santosh Khasanvis, Jiajun Shi, Mingyu Li, Csaba Andras Moritz* Electrical and Computer Engineering,

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information