EUV patterning improvement toward high-volume manufacturing

Size: px
Start display at page:

Download "EUV patterning improvement toward high-volume manufacturing"

Transcription

1 P63 EUV patterning improvement toward high-volume manufacturing 2015 International Workshop on EUV Lithography Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami imec P. Foubert, A-M. Goethals

2 Introduction Extreme ultraviolet lithography (EUVL) technology is a promising candidate of semiconductor process for 18nm half pitch and beyond. It still requires fine resolution, uniform, smooth patterns and low defectivity, not only after lithography but also after the etch process. Tokyo Electron Limited and imec are continuously collaborating to develop manufacturing quality POR processes to EUV with CLEAN TRACK TM LITHIUS Pro TM Z-EUV. We evaluated defectivity at post lithography and post etch process. New rinse material and application compatible with sub 18nm patterning is performed to prevent line pattern collapse on several resist materials, because rinse material compatibility with resist is concerned. Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 2

3 Target Defect Density (defects/cm 2 ) EUVL 32nm L/S Defects after Litho Embedded Defect reduction is the Key Challenge underlayer/si micro bridge residue 1% embedded protrusion collapse Target Defect Yuhei Kuwahara/TEL Philippe Foubert /imec et. al, SPIE 2014 Defect Budget SPIE2014 underlayer/si micro bridge residue embedded protrusion collapse 1% 12% 15% 24% Bridge Embedded 1% 9% 25% 39% 45% Mar Resist-A, Mar Resist-A, July % Reduction 0.12 Resist-A, Jan Resist-A, Jan % 4% 1. Coating related 74% (UL, EM, MB, Prt) 2. Collapse 25% Coating related defects are still majority of the defectivity Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 3

4 Normalized Defectivity Baseline Defectivity Result ADI&AEI SoC/SoG/EUV Resist-B Protrusion Microbridge Embedded 3.95 AEI Defect Images ADI&AEI Common Defect Micro Bridge Micro Bridge Embedded 2.0 AEI Specific Defect Post litho (ADI) Post Etch (AEI) Protrusion Protrusion Protrusion After etch inspection is much more sensitive, post etch defect reduction need to be addressed. Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 4

5 After Etch Defect Model Resist Film Defect Resist SoG SoC Detectable at ADI Under Layer Film Defect Resist SoG SoC Un-detectable at ADI Resist SoG SoC Un-detectable at ADI Coating related defect reduction is important to after etch defect reduction Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 5

6 Coating Defect Reduction by New Technologies at TEL in-house Normalized Blanket Defect Defect Inspection : KLA2900 (KLA-Tencor) Before verification of pattern defect, executed preliminary test for coating defect reduction by new dispense system. Protrusion Protrusion Dent Embedded 81% 71% Baseline FEF New Baseline New FEF SiARC EUV EUV-Resist Resist-C Dent Embedded New Function can reduce blanket coating defect for several materials ACI defects Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 6

7 Evaluation of AEI Defect Reduction Experiment Flow Coating scheme SoC SoG Resist-B Baseline Baseline Baseline New New New ADI NXE3100 L/S HP32nm AEI Etch Resist-B SoG SoC Defect Inspection KLA2835 Defect Inspection KLA2835 Root cause of AEI defects are investigated by using coating defect reduction technologies. Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 7

8 Normalized Defect Density AEI Defect Reduction Result Embedded Microbridge Particle Protrusion % AEI Defect Images % Micro Bridge Total 97% Reduction Embedded Total 84% Reduction 0.0 baseline New baseline New post litho post etch Defectivity is drastically improved by New Function, AEI defectivity is sensitive with coating defect. Micro bridge and Embedded defect reduction is main contributor. Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 8

9 Pattern Collapse Mitigation 1% Defect Budget SPIE2014 underlayer/si micro bridge residue embedded protrusion collapse 25% 39% 9% 22% 4% r σ σ σ=6γcosθ/d (h/w) 2 h 18nm Line& Space Pattern Collapse θ D W σ;the maximum stress which works to pattern γ;surface tension of rinse h;height of pattern 18nm Line& Space Pattern Melt θ;contact angle D;Space of pattern W;Width of pattern h/w;aspect ratio N. Namatsu et. al., Appl.. Phys. Lett. 66(20), pp , (1995) Approach to tackle this challenge Surface tension reduction by introducing a New FIRM TM Material Check the resist compatibility below 20nm pattern Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 9

10 CD [nm] FIRM TM Rinse Material Evaluation 20nmHP of Resist-B Process window Dose Focus DIW Rinse Extreme TM 10 Extreme TM A Available:15 Available:20 Available: DIW DIW Ex-10 Ex-A Extreme TM A Extreme TM Dose [mj/cm^2] No collapses Unavailable pattern (collapse, no resolution, melt) smallest CD [nm] Extreme TM is a registered trademark of AZ Electronic Materials. CD from DIW [nm] LWR [nm] DIW Extreme TM Extreme TM A Extreme TM A has best smallest CD without pattern collapse. Smaller CD change from DIW process than Extreme TM 10 Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 10

11 FIRM TM Rinse Material Resist Compatibility below 20nm Pattern Half pitch / Resist DIW Extreme TM 10 Extreme TM A HP 18nm / Resist -D Dose Focus Available:16 Available:27 Available: 27 HP 17nm / Resist-E Dose Focus Available:8 Available:9 Available: 8 No collapses Unavailable pattern (collapse, no resolution, melt) Extreme TM A shows pattern collapse mitigation for Below 20nm pattern Several resist materials HP 18nm / Resist-D HP 17nm / Resist-E smallest CD [nm] CD [nm] LWR [nm] DIW Extreme TM Extreme TM A DIW Extreme TM Extreme TM A Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 11

12 Exposure Latitude(%) 18nm HP Process Window and X-section SEM with Resist-E 18nm HP Process Window CD±5% DIW Rinse Extreme TM 10 Extreme TM A Max EL 4.3% 8.9% 6.9% Max DoF 80nm 80nm 280nm Dose to Size 36.3(mJ/cm 2 ) 38.3(mJ/cm 2 ) 36.2(mJ/cm 2 ) 18nm HP X-section DIW Rinse Extreme TM 10 Extreme TM A Height:24-29nm Height:21-28nm Height:25-31nm DIW Rinse Extreme-10 Extreme-A Depth of Focus(um) Extreme TM A achieved greater process window than Extreme10 no significant impact to pattern profile and thickness Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 12

13 L16nm P36nm with Extreme TM A and Resist-E 88% 60% 20% 80% 60% 60% 60% 80% 0% 40% 0% 80% 0% 0% 0% 80% 80% 80% 60% 80% 80% 80% 80% Ave. CD 16.4nm 3sigma:1.6nm FIRM Extreme TM 10 0% 80% 80% 0% Total 532 images/wafer Collapse Standing Ratio Ave. CD 16.6nm 3sigma:0.7nm FIRM Extreme TM A Pattern collapse is completely mitigated by FIRM Extreme TM A on 16nm line pattern. Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 13

14 Conclusion Defect reduction is key for the EUV manufacturing, especially post etch defectivity. Post Etch defectivity is reduced 85% by using New Function. Pattern collapse is one of the critical issue of the EUV lithography. FIRM Extreme TM A has demonstrated greater pattern collapse mitigation and process window enhancement on even below 20nm HP pattern. In addition, FIRM Extreme TM A has great compatibility with several imec POR materials. Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 14

15 Acknowledgement The authors would like to extend their appreciation to Tokyo Electron Kyushu Ltd. SPE Process Technology department Tokyo Electron Europe Ltd. service team Tokyo Electron Miyagi Ltd. Product engineering Y. Kuwahara / Tokyo Electron Kyushu Ltd. / SPE Process Technology Dept. / Rev. 1/ 15

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work. 2. Experimental conditions Table 1 shows compositions of top coat and non top coat resist materials evaluated in this work. Resist A is a conventional ArF resist using immersion top coat. Resists B, C,

More information

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ROEL GRONHEID, IVAN POLLENTIER (IMEC) TODD YOUNKIN (INTEL) MARK SOMERVELL, KATHLEEN NAFUS, JOSH HOOGE, BEN RATHSACK, STEVEN SCHEER (TOKYO

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Progress in EUV resist development

Progress in EUV resist development Progress in EUV resist development T. Shimokawa, T. Kai, D. Shimizu, K. Maruyama, A. Saitou, Y. Hishiro, Semiconductor Materials Laboratory, JSR Corporation. JSR Micro, INC. 2008 International Workshop

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Towards cost-effective and low defectivity DSA flows for line/space patterning

Towards cost-effective and low defectivity DSA flows for line/space patterning Towards cost-effective and low defectivity DSA flows for line/space patterning Hari Pathangi, Arindam Malik, B.T. Chan, Varun Vaid, Nadia Vandenbroeck, Roel Gronheid Jin Li, Baskaran Durairaj, JiHoon Kim,

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

Photoresist and Process Issues on 193nm Immersion Lithography

Photoresist and Process Issues on 193nm Immersion Lithography Photoresist and Process Issues on 193nm Immersion Lithography Jin-Young Yoon, Jung-Hwan Hah, Yun-Kyung Jang, Mitsuhiro Hata, Hyung-Rae Lee, Chan Hwang, Young-Jae Jung, Shi-Yong Lee, Yool Kang, Hyun-Woo

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microbridge reduction in negative tone imaging at photoresist pointof-use Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi,

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

ZERO DEFECTS Entegris Newsletter

ZERO DEFECTS Entegris Newsletter July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system

Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system EMD Performance Materials Corp. Eri Hirahara, Margareta Paunescu, Orest Polishchuk, EunJeong

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

A simulation analysis on defect annihilation in directed self-assembly lithography

A simulation analysis on defect annihilation in directed self-assembly lithography A simulation analysis on defect annihilation in directed self-assembly lithography Katsuyoshi Kodera, Hideki Kanai, Yuriko Seino, Hironobu Sato, Yusuke Kasahara, Katsutoshi Kobayashi, Hiroshi Kubota, Naoko

More information

A novel 248-nm wet-developable BARC for trench applications

A novel 248-nm wet-developable BARC for trench applications A novel 248-nm wet-developable BARC for trench applications Charles J. eef & Deborah Thomas Brewer Science, Inc., 2401 Brewer Dr., Rolla, M, 65401 USA ABSTRACT A novel polyamic acid based, 248-nm wet-developable

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Coating Material Features, Process, and Performance AZ s bottom antireflective coating material,

More information

High-resolution defect metrology for silicon BARC analysis

High-resolution defect metrology for silicon BARC analysis High-resolution defect metrology for silicon BARC analysis Brian Smith a, Steve McGarvey b, Zhimin Zhu a, Yubao Wang a, and Dan Sullivan a a Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO, USA 65401-7003

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV6 POSITIVE DUV PHOTORESIST For DUV Applications UV6 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV6 Positive DUV Photoresist has been optimized to provide vertical profile imaging of dense and semi-isolated features for device production

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance Novel olyphenol Base Molecular Resist Having High Thermal Resistance Taku Hirayama, Takeyoshi Mimura, Jun Iwashita, Makiko Irie, Daiju hiono, Hideo Hada and Takeshi Iwai TKY KA KGY C., LTD. 2008 International

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

Advanced Lithography Updates and Challenges for Metrology and Inspection

Advanced Lithography Updates and Challenges for Metrology and Inspection Advanced Lithography Updates and Challenges for Metrology and Inspection Center for Semiconductor Research & Development Advanced Lithography Process Technology Dept. Tatsuhiko Higashiki Contents Device

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Lawrence Livermore National Laboratory Regina Soufli, Sherry L. Baker, Jeff C. Robinson (LLNL) Eric M. Gullikson

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

P4000 Thick Film Photoresist

P4000 Thick Film Photoresist D A T A S H E E T AZ Description AZ series photoresists provide unmatched capabilities in demanding applications requiring film thicknesses ranging from 3 to over 60 µm. These production proven photoresists

More information

EUVL Advancements Toward HVM Readiness

EUVL Advancements Toward HVM Readiness EUVL Advancements Toward HVM Readiness Britt Turkot, Mark Phillips Intel Corporation When, versus If 2 Outline Review EUVL outlook at 2014 Source Workshop Recent progress and remaining gaps EUVL infrastructure

More information

Photoresist-induced development behavior in DBARCs

Photoresist-induced development behavior in DBARCs Photoresist-induced development behavior in DBARCs Jim D. Meador, Alice Guerrero, Joyce A. Lowes, Charlyn Stroud, Brandy Carr, Anwei Qin, Carlton Washburn, and Ramil-Marcelo L. Mercado Brewer Science,

More information

Integrated Process Technology Development for the sub 7nm Era

Integrated Process Technology Development for the sub 7nm Era Integrated Process Technology Development for the sub 7nm Era July 12, 2017 Alex Oscilowski President TEL Technology Center, America, LLC. TEL s Global R&D Operations Korea U.S. imec (Belgium) TEL Technology

More information

Progress in EUV blanks development at HOYA

Progress in EUV blanks development at HOYA Progress in EUV blanks development at HOYA T. Shoki, T. Yamada*, A. Ikeda*, J. Miyagaki*, N.Tanaka*, S. Shimojima, R. Ohkubo and O. Nozawa NGL Development Center, Blanks Dev.* HOYA Corporation 1 2005 EUVL

More information

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita EUVL R&D Program in NewSUBARU University of Hyogo Hiroo Kinoshita NewSUBARU Synchrotron Facilities Reflectometer (BL10) IL tool Outgas measu rment system Interference Lithography & New Resist evaluation

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Recent Innovations in ArF Sumiresist

Recent Innovations in ArF Sumiresist Recent Innovations in ArF Sumiresist Sumitomo hemical o., Ltd. IT-Related hemicals Research Laboratory Ichiki TAKEMOTO Kunishige EDAMATSU With the increased development in electronic equipment in our highly

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

DRY REMOVAL OF A SURFACE FUNCTIONALIZATION CHEMISTRY USED FOR PATTERN COLLAPSE PREVENTION

DRY REMOVAL OF A SURFACE FUNCTIONALIZATION CHEMISTRY USED FOR PATTERN COLLAPSE PREVENTION DRY REMOVAL OF A SURFACE FUNCTIONALIZATION CHEMISTRY USED FOR PATTERN COLLAPSE PREVENTION GUY VEREECKE 1*, KANA KOMORI 2, YUTA NAKANO 2, FARID SEBAAI 1, XIUMEI XU 1, YUSUKE ONIKI 1, AND FRANK HOLSTEYNS

More information

Solvent pre-wetting as an effective start-up method for point-of-use filter

Solvent pre-wetting as an effective start-up method for point-of-use filter Solvent pre-wetting as an effective start-up method for point-of-use filter Toru Umeda* a, Shinichi Sugiyama, Takashi Nakamura, Makoto Momota b, Michael Sevegney c, Shuichi Tsuzuki, Toru Numaguchi a a

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Development Status of EUVL Blank and Substrate

Development Status of EUVL Blank and Substrate Development Status of EUVL Blank and Substrate Asahi Glass Company Toshiyuki Uno 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber 3. Integrated

More information

Control technology of EUV Optics Contamination:

Control technology of EUV Optics Contamination: EUVL Workshop, Maui, Hawaii Wednesday, June 11, 2008 Control technology of EUV Optics Contamination: Modeling, mitigation and cleaning for lifetime extension Iwao Nishiyama 1 Outline 1. Introduction Requirement

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

Development of block copolymer systems for directed self assembly at the University of Queensland

Development of block copolymer systems for directed self assembly at the University of Queensland Development of block copolymer systems for directed self assembly at the University of Queensland Imelda Keen, Han-Hao Cheng, Anguang Yu, Thomas Bennett, Ya-Mi Chuang, Kevin Jack, Kristofer Thurecht Andrew

More information

UVIII POSITIVE DUV PHOTO RESISTS

UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESISTS UVIII POSITIVE DUV PHOTO RESIST is optimized to provide wide process latitude for

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography Appl. Phys. A 80, 1173 1178 (2005) DOI: 10.1007/s00339-004-3176-y Applied Physics A Materials Science & Processing w. wu 1, g.-y. jung 1 d.l. olynick 2 j. straznicky 1 z. li 1 x. li 1 d.a.a. ohlberg 1

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Alisa Blauberg, Vikas Sachan, John Lemon, Garo Derderian GLOBALFOUNDRIES

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

Annual General Meeting of Shareholders. 24 March 2010

Annual General Meeting of Shareholders. 24 March 2010 Annual General Meeting of Shareholders 24 March 2010 Safe Harbor "Safe Harbor" Statement under the US Private Securities Litigation Reform Act of 1995: the matters discussed in this document may include

More information

Improving Material-Specific Dispense Processes for Low Defect Coatings

Improving Material-Specific Dispense Processes for Low Defect Coatings Improving Material-Specific Dispense Processes for Low Defect Coatings Brian Smith* a, Raul Ramirez b, Jennifer Braggin b, Aiwen Wu b, Karl Anderson, John Berron a, Nick Brakensiek a and Carlton Washburn

More information

Industry Roadmap and Technology Strategy

Industry Roadmap and Technology Strategy Industry Roadmap and Technology Strategy Martin van den Brink President and Chief Technology Officer Overview Slide 2 Industry Innovation Moore s law innovation continues, driven by multiple engines of

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Photolithography Process Technology

Photolithography Process Technology Contents Photolithography Process - Wafer Preparation - Photoresist Coating - Align & Expose - Photoresist Development Process Control CD Measurement Equipment Expose System & Wafer Track Consumables Chemicals

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E10.3.1 Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden Dr. Uwe Dersch AMTC RD-TD Advanced Mask Technology Center GmbH & Co. KG. History and motivation Integrated process

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography Ramil-Marcelo L. Mercado, Joyce A. Lowes, Carlton A. Washburn, Douglas J. Guerrero Brewer Science, Inc., 2401 Brewer

More information

Creating Greater Capacity on Smaller Spaces

Creating Greater Capacity on Smaller Spaces Creating Greater Capacity on Smaller Spaces Manufacturability of Pattern Media Babak Heidari, Obducat, Sweden Obducat Overview Company Background Founded in 1989 Facilities in Sweden (HQ) and in Cambridge

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017 Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs David W. Price, Ph.D. Robert J. Rathert April, 2017 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability

More information

Impact of New MoSi Mask Compositions on Processing and Repair

Impact of New MoSi Mask Compositions on Processing and Repair Impact of New MoSi Mask Compositions on Processing and Repair Anthony Garetto 1, John Stuckey 2, Don Butler 2 1 Carl Zeiss SMS Inc., 1 Corporation Way, 01960 Peabody, MA 2 MP Mask Technology Center LLC,

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Journal of Photopolymer Science and Technology Volume 8, Number () 7 SPST Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Takuya Hagiwara, Kentaro Saito, Hiraku Chakihara,

More information

New conductors what are the options? Marleen van der Veen

New conductors what are the options? Marleen van der Veen New conductors what are the options? Marleen van der Veen Content Trends and roadmaps Dual damascene: multi-patterning and EUV Alternative conductors and examples of implementation Summary Trend 1: scaling

More information

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Imprint lithography for curved cross-sectional structure using replicated Ni mold Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering,

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information