FPGA Device and Architecture Evaluation Considering Process Variations

Size: px
Start display at page:

Download "FPGA Device and Architecture Evaluation Considering Process Variations"

Transcription

1 FPGA Devce and Archtecture Evaluaton Consderng Process Varatons Ho-Yan Wong, Lerong Cheng, Yan Ln, Le He Electrcal Engneerng Department Unversty of Calforna, Los Angeles {phoebe, lerong, yln, ABSTRACT Process varatons n nanometer technologes are becomng an mportant ssue for cuttng-edge FPGAs wth a multmllon gate capacty. Consderng both de-to-de and wthnde varatons n effectve channel length, threshold voltage, and gate oxde thckness, we frst develop closed-form models of leakage and tmng varatons at the FPGA chp level. Experments show that our models are wthn 3% from Monte Carlo smulaton, and the leakage and delay varatons can be up to 3X and 1.9X, respectvely. We then derve analytcal yeld models consderng both leakage and tmng varatons, and use such models to evaluate FPGA devce and archtecture under process varatons. Compared to the archtecture smlar to a commercal FPGA and devce settng from ITRS roadmap, devce tunng alone mproves leakage yeld by 39% and archtecture and devce co-optmzaton ncreases leakage yeld by 73%. We also show that LUT sze 4 gves the hghest leakage yeld, LUT sze 7 gves the hghest tmng yeld, but LUT sze 5 acheves the maxmum combned leakage and tmng yeld. To the best of our knowledge, ths s the frst n-depth study on FPGA devce and archtecture co-evaluaton consderng process varatons. 1. INTRODUCTION Modern VLSI desgns see a large mpact from process varaton as devces scale down to nanometer technologes. Varablty n effectve channel length, threshold voltage, and gate oxde thckness ncurs uncertantes n both chp performance and power consumpton. For example, measured varaton n chp-level leakage can be as hgh as 0X compared to the nomnal value for hgh performance mcroprocessors [1]. In addton to meetng the performance constrant under tmng varaton, des wth excessvely large leakage due to such a hgh varaton have to be rejected to meet the gven power budget. There have been a few studes on parametrc yeld estmaton consderng both tmng [, 3] and leakage [4, 5] varatons n ASICs. However, the parametrc yeld study for FPGAs s largely unexplored n the lterature. Exstng FPGA archtecture evaluaton has consdered performance, area, and power [6, 7, 8, 9]. [10] evaluated new FPGA archtectures consderng feld programmable supply voltage ncludng dual-vdd and power-gatng. A very recent work [11] showed that devce and archtecture co-optmzaton s able to obtan the largest mprovement n FPGA performance and power effcency. Ths paper s partally supported by NSF CAREER award CCR , and NSF grant CCR We used computers donated by Intel. Address comments to lhe@ee.ucla.edu. However, all the evaluaton work so far dd not consder process varatons. In ths paper, we frst develop closed-form models of leakage and tmng varatons at the FPGA chp level wth consderaton of deto-de and wthn-de varatons. Experments show that our models are wthn 3% from Monte Carlo smulaton, and the leakage and delay varatons can be up to 3X and 1.9X, respectvely. In addton, t s also shown that leakage s more senstve to wthn-de varaton compared to nter-de varaton, whereas tmng s more senstve to nter-de varaton compared to wthn-de varaton. We then evaluate FPGA devce and archtecture under process varatons. Compared to the archtecture smlar to a commercal FPGA and devce settng from ITRS roadmap, devce tunng alone mproves leakage yeld by 39% and archtecture and devce co-optmzaton ncreases leakage yeld by 73%. We also show that LUT sze 4 gves the hghest leakage yeld, LUT sze 7 gves the hghest tmng yeld, but LUT sze 5 acheves the maxmum combned leakage and tmng yeld. The rest of the paper s organzed as follows. Secton presents background knowledge. Secton 3 derves closed-form models for leakage and delay varatons. Secton 4 develops the leakage and tmng yeld models. Secton 5 analyzes the leakage and tmng yeld rates, and Secton 6 concludes the paper.. BACKGROUND We assume the cluster-based sland style FPGA same as the exstng archtecture evaluaton work [8]-[11]. A logc block s a cluster of fully connected Basc Logc Elements that conssts of one LUT and one flp-flop. The cluster sze N and LUT sze K are the archtectural parameters to be evaluated. For smplcty, we assume a fxed routng archtecture same as [11],.e., fully buffered routng swtches and unform wre segment spannng four logc blocks. We also optmze devces n terms of V dd and V th. The above archtecture and devce co-optmzaton may easly have over hundreds devce and archtecture combnatons. A runtme effcent trace-based estmaton tool Ptrace s proposed to handle such co-optmzaton [11]. For a gven benchmark set and a gven FPGA archtecture, statstcal nformaton of swtchng actvty, crtcal path structure, and crcut element utlzaton are collected by proflng the placed and routed benchmark crcuts. These statstcal nformaton s called the trace of the gven benchmark set. Then, closed-form formulas are used to compute power and delay based on trace nformaton and devce parameters. Ptrace has a hgh accuracy compared to the detaled verfcaton, where a crcut s placed and routed by VPR [6] and smulated by cycleaccurate power smulaton Psm [10]. In ths paper, we consder the varaton n threshold voltage X/05/$ IEEE. 19

2 (V th ), effectve channel length (L ef f ), and gate oxde thckness (T ox). Smlar to [4] where ASIC s assumed, each varaton ( P ) s decomposed nto global (de-to-de) varaton ( P g) and local (wthn-de) varaton ( P l ). We wll extend Ptrace to consder the above varatons and then perform devce and archtecture cooptmzaton wth process varatons. 3. LEAKAGE AND TIMING VARIATIONS 3.1 Leakage under Varaton We extend the leakage model n FPGA power and delay estmaton framework Ptrace[11] to consder varatons. In Ptrace,the total leakage of an FPGA chp s calculated as follows, I chp = X N t I (1) where N t s the number of FPGA crcut elements n FPGA resource type,.e., an nterconnect swtch, buffer, LUT, confguraton SRAM cell, or flp-flop, and I s the leakage of an element. Dfferent szes of nterconnect swtches and buffers are consdered as dfferent crcut elements. The leakage current I of a crcut element s the sum of the subthreshold and gate leakages: I = I sub + I gate () Varaton n I sub manly sources from varaton n L ef f and V th. Varaton n I gate manly sources from varaton n T ox. Dfferent from [4] that models subthreshold leakage and gate leakage separately, we model the total leakage current I of crcut element n resource type as follows, I = I n() e f ( L eff ) e f ( V th) e f ( T ox) where I n() s the leakage of a crcut element n resource type n the absence of any varablty and f s the functon that represents the mpact of each type of process varaton on leakage. The nterdependency between these functons has been shown to be neglgble n [4]. From SPICE smulaton, we fnd that t s suffcent to express these functons as smple lnear functons. To make the presentaton smple, we denote L ef f, V th,and T ox as L, V,andT, respectvely. We can express these functons wth ths smple notaton as follows, (3) f(l) = c 1 L f(v )= c V f(t )= c 3 T (4) where c 1,c,c 3 are fttng parameters decded by SPICE smulatons. The negatve sgn n the exponent ndcates that the transstors wth shorter channel length, lower threshold voltage, and smaller oxde thckness lead to hgher leakage current. We rewrte (3) as follows by decomposng L, V and T n to local (L l,v l,t l )and global (L g,v g,t g) components. I = I n() e (c 1L g+c V g+c 3 T g ) e (c 1L l +c V l +c 3 T l ) To extend the leakage model (1) under varatons, we consder that each element has unque local varatons but all elements n one de share the same global varatons. Both global and local varatons are modeled as normal random varables. The leakage dstrbuton of a crcut element s a lognormal dstrbuton. The total leakage s the sum of all lognormals. The state-of-the-art FPGA chp usually has a large number of crcut elements and therefore the relatve random varance of the total leakage approaches zero. Same as [4], we apply the Central Lmt Theorem and use the mean of the dstrbuton to approxmate the dstrbuton of the sum of (5) lognormals. After ntegraton, we can wrte the expresson of the chp-level leakage as the follows, I chp X N t E[I ] = X N t S I Lg,Vg,T g () (6) S = e (c 1σ Ll +c σ Vl +c3 σ Tl )/ I Lg,Vg,T g () = I n()e (c 1L g+c V g+c 3 T g) where S s the scale factor ntroduced due to local varablty n L, V,andT. I Lg,Vg,T g () s the leakage as a functon of global varatons. σ Ll, σ Vl and σ Tl are the varances of L l, V l,andt l, respectvely. For an FPGA archtecture wth power-gatng capablty, an unused crcut element can be power-gated to reduce leakage power. In ths case, Ptracecalculates the total leakage current as follows, I chp = X X N u I + α gatng (N t N u )I (7) where N u s the number of used crcut elements n FPGA resource type and α gatng s the average leakage rato between a powergated crcut element and a crcut element n normal operaton. Same as [11], 1/300 s used for α gatng n ths paper. Smlar to (6), (7) can be easly extended to consder varatons as follows, I chp X where E[I ] s stll defned as n (6). X N u E[I ]+α gatng (N t N u )E[I ](8) 3. Tmng under Varaton The performance depends on L ef f, V th,andt ox, but ts varaton s prmarly affected by L ef f varaton[4]. Below we extend the delay model n Ptrace to consder global and local varatons of L ef f. The structure of the crtcal path for each benchmark s obtaned for tmng analyss. The path delay can be calculated as follows, D = X d (L g,l l ) (9) For crcut element n the path, d (L g,l l ) s the delay consderng global varaton L g and local varaton L l. L g s the same for all the crcut elements n the crtcal path. Gven L g,weevenly sample a few (eleven n ths paper) ponts wthn range of [L g 3σ Ll,L g +3σ Ll ]. We then perform SPICE smulaton to obtan the delay for each crcut element wth these varatons. As the delay monotoncally decreases when L ef f ncreases, we can drectly map the probablty of a channel length to the probablty of a delay and obtan the delay dstrbuton of a crcut element. We assume that the local channel length varaton of each element s ndependent from each other. Therefore, we can obtan the dstrbuton of the crtcal path delay for a gven L g as follows by convoluton operaton, PDF(D) =PDF(d 1) PDF(d ) PDF(d ) PDF(d n) (10) 4. YIELD MODELS 4.1 Leakage Yeld The leakage yeld s calculated on a bn-by-bn bass where each bn corresponds to a specfc value L g. For a partcular bn, the 0

3 value L g s constant. We can rewrte (6) for chp-level leakage current as follows, I chp A = X A e c Vg e c 3T g (11) = N I n()s e c 1L g where A s the leakage current for all crcut elements of resource type at a value of L g and ncludes the scale factor S due to the local varablty. Let X be the leakage consumed by the elements of resource type and t s a lognormal varable. The chp-level leakage current I chp s the sum of each lognormal varable X [4] and t can be expressed as follows, I chp = X X (1) X Lognormal(log(A ), ((c σ Vg ) +(c 3σ Tg ) )) Same as [4], we model I chp, the sum of the lognormal varables X, as another lognormal random varable. The lognormal varable X shares the same random varables σ Vg and σ Tg, and therefore these varables are dependent of each other. Consderng the dependency, we calculate the mean and varance of the new lognormal I chp as follows, µ Ichp = P {exp[log(a)+ (c σ Vg ) + (c 3σ Tg ) ]} (13) σ Ichp = P {exp[log(a)+(cσvg ) +(c 3σ Tg ) ] [exp(c σv g + c 3σT g ) 1]} + P,j COV (X,Xj) (14) where the mean of I chp, µ Ichp, s the sum of means of X and the varance of I chp, σ Ichp, s the sum of varance of X and the covarance of each par of X. The covarance s calculated as follows, COV (X,X j) = E[X X j] E[X ]E[X j] (15) E[X X j] = exp[log(a A j)+ (c + cj) σ Vg + (c3 + cj3) σ Tg ] E[X ] = exp[log(a )+ (cσvg ) + (c3σtg ) ] We then use the method from [4] to obtan the mean and varance (µ N,Ichp,σ N,Ichp ) of the normal random varable correspondng to the lognormal I chp. As the exponental functon that relates the lognormal varable I chp wth the normal varable I N,chp s a monotone ncreasng functon, the CDF of I chp can be expressed as follows usng the standard expresson for the CDF of a lognormal random varable, µ N,Ichp = log[µ I 4 /(µ chp Ichp +σ Ichp )] σ N,Ichp = log[1 + (σ Ichp /µ Ichp )] Y leak (I chp Lg )=CDF(I chp ) = 1 [1 + erf( log(i chp) µ N,Ichp σn,ichp )] (16) where erf() s the error functon. Gven a leakage lmt I cut for I chp, [CDF(I cut) 100%] gves the leakage yeld rate Y leak (I cut L g),.e., the percentage of FPGA chps that s smaller than I cut n a partcular L g bn. Smlarly, the yeld for the FPGA chp wth power-gatng capablty can be easly calculated usng (8). 4. Tmng Yeld The tmng yeld s agan calculated on a bn-by-bn bass where each bn corresponds to a specfc value L g. We further consder local varaton of channel length n tmng yeld analyss. Gven the global channel length varaton L g, (10) gves the PDF of the crtcal path delay D of the crcut. We can obtan the CDF of delay, CDF(D L g), byntegratngforagvenl g. Gven a cutoff delay (D cut)andl g, CDF(D cut L g) gves the probablty that the path delay s smaller than D cut consderng L ef f varatons. However, t s not suffcent to only analyze the orgnal crtcal path n the absence of process varatons. The close-to-be crtcal paths may become crtcal consderng varatons and an FPGA chp that meets the performance requrement should have the delay of all paths no greater than D cut. We assume that the delay of each path s ndependent and we can calculate the tmng yeld for a gven L g as follows, Y perf (D cut L g)= ny CDF (D cut L g) (17) =1 where CDF (D cut L g) gves the probablty that the delay of the th longest path s no greater than D cut. In ths paper, we only consder the ten longest paths,.e., n =10because the smulaton result shows that the ten longest paths have already covered all the paths wth a delay larger than 75% of the crtcal path delay under the nomnal condton. We then ntegrate Y perf (D cut L g) to calculate the performance yeld Y perf as follows, Y perf = Z + PDF(L g) Y perf (D cut L g) dl g (18) 4.3 Leakage and Tmng Combned Yeld To analyze the yeld of a lot, we need to consder both leakage and delay lmt. Gven a specfc global varaton of channel length L g, the leakage varablty only depends on the varablty of random varable V g and T g as shown n (6), and the tmng varablty only depends on the varablty of random varable L l. Therefore, we assume that the leakage yeld and tmng yeld are ndependent of each other. The yeld consderng the mposed leakage and tmng lmt can be calculated as follows, Y com = Z + PDF(L g)y leak (I cut L g)y perf (D cut L g) dl g (19) 5. LEAKAGE AND TIMING YIELD ANALYSIS For the total power and leakage power we report the arthmetc mean of 0 MCNC benchmarks wthn and among three FPGA archtecture classes: Homo-V t s the conventonal FPGA usng the same and optmzed V t for both logc blocks and nterconnect; Hetero-V t optmzes V t separately for logc blocks and nterconnect; and Homo-V t+g s the same as Homo-V t except that unused logc blocks and nterconnect are power-gated as studed n[10]. We assume 10% of the nomnal value as 3σ for all the process varatons. 5.1 Leakage Yeld Fgure 1 shows the full chp leakage power smulated by Monte Carlo smulaton and σ, n the presence of nter-de and ntra-de varatons. Leakage may change sgnfcantly due to process varatons. When there s a ±3σ nter-de varaton of L ef f, the leakage power has a 3X span. When no varaton s present, there s stll a X span n leakage power due to wthn-de varaton. Clearly, 1

4 Normalzed Leakage Power X Global Leff Varaton (σ) Fgure 1: Leakage power of baselne archtecture (N=8, K=4) wth ITRS devce settng under ntrade and nter-de varatons. leakage s more senstve to wthn-de varaton compared to nterde varaton. Therefore t s mportant to consder the mpact of process varatons on leakage when determnng the yeld. We further valdate our chp-level analytcal model for leakage by Monte Carlo smulaton to estmate the full chp leakage power n Table 1, where global varatons are all set to ±3σ, and local varatons are set to 0, ±1σ,and ±σ. The mean calculated from our analytcal method has a less than 3% dfference from the smulaton and the standard devatons dffered by 1% of the mean value. In the rest of the paper, we always report the standard devaton as a relatve value wth respect to the mean and use our analytcal model to calculate the yeld. Varatons(σ) Mean(W) SD(%) (L g, L l ) (V g, V l ) (T g, T l ) M-C Model M-C Model (±3,0) (±3,0) (±3,0) (±3,±1) (±3,±1) (±3,±1) (±3,±) (±3,±) (±3,±) Table 1: Comparson between analytcal varaton models and Monte Carlo (M-C )smulaton Impact of Archtecture and Devce Tunng In ths secton we consder combnatons of devce and archtecture parameters, called as hyper-archtecture (n short, hyperarch). Table shows the yeld, mean leakage, and standard devaton from two dfferent devce settngs, sorted by the yeld. Columns 1-4 use ITRS devce settng. Our baselne FPGA has N =8and K =4, whch s the archtecture used by Xlnx Vrtex-II Pro. Yeld s calculated usng the nomnal leakage of each archtecture plus an offset of 30% of the nomnal leakage of baselne archtecture, P L base, as the leakage lmt. As shown n column 1 of Table, the yeld ranges from 4% to 70%, whch shows that archtecture tunng has a sgnfcant mpact on the yeld. Among all archtectures, N =6and K =5gves the maxmum yeld, whch s 1% hgher than the baselne. The yeld s affected by both the mean and varance. When the mean leakage s close to the leakage lmt, the varance gans mportance n determnng the yeld. However, when the mean s not close to the lmt, the varance does not have that much mpact on the yeld. In ths case, the lower the mean leakage s, the hgher the yeld s (see columns 5 8). It s also notceable that larger LUT szes have larger mean leakage, thus yeld becomes smaller. X ITRS Vdd0.80V/V t0.0v Mn ED Vdd0.90V/V t0.30v Y Mean SD (N,K) Y Mean SD (N,K) (%) (W) (%) (%) (W) (%) (6, 5) (6, 4) (8, 3) (8, 4) (10, 3) (10, 4) (1, 3) (6, 5) (6, 4) (8, 3) (8, 4) (10, 3) (10, 4) (1, 3) (8, 5) (1, 4) (10, 5) (8, 5) (1, 5) (10, 5) (3, 6) (3, 6) (1, 4) (1, 5) (8, 6) (6, 6) (6, 6) (8, 6) (10, 6) (10, 6) (1, 6) (1, 6) (6, 7) (6, 7) (8, 7) (8, 7) (10, 7) (10, 7) (1, 7) (1, 7) Table : Comparson of Dfferent Devce Settng Devce tunng also affects the yeld. In Columns 5 8 of Table, we use a devce settng that provdes the mnmum energy-delay product (mnmum product of energy per clock cycle and crtcal path delay, n short, mn-ed) gven n [11]. Column 5 shows that optmzng Vdd and V t can ncrease the yeld rate of each archtecture by an average of 39%. Therefore, devce tunng has a great mpact on yeld rate and t s mportant to evaluate dfferent Vdd and V t levels whle consderng process varatons. Comparng the yeld of archtecture (1, 7) n ITRS devce settng and archtecture (6, 4) n Mn-ED devce settng shows that combnng devce tunng wth archtecture tunng can ncrease the yeld by up to 73%. From the Table, archtectures wth K=4 generally provdes the hghest yeld rate, and they have the mnmum area as reported n prevous work such as [11]. In the rest of the paper, we wll only consder domnant archtectures. Domnant archtectures are defned as the group of archtectures that ether has smaller delay or less energy consumpton than others [11]. Fg presents the energy and delay tradeoff between domnant archtectures assumng Homo-V t class. Total FPGA Energy/Cycle (nj) (6,7) (8,5) (10,5) (1,4) (8,4) Crtcal Path Delay (ns) (10,4) Fgure : Energy-delay tradeoff among archtectures n Homo-V t usng mn-ed devce settng Impact of Heterogeneous-V t and Power-gatng

5 Normalzed Delay X 1.9X Global Leff Varaton (σ) Fgure 3: Delay of baselne archtecture (N=8, K=4) wth ITRS devce settng under ntra-de and nter-de Leff varaton. It has been shown that heterogeneous-v t and power-gatng may have great mpact on energy delay tradeoff [11]. Here we further consder the mpact of heterogeneous-v t on the yeld by comparng Homo-V t and Hetero-V t n mn-ed devce settng. Table 3 shows the results of the domnant archtectures n all classes. The average yeld for each class s presented n the last row of the table. Comparng the yeld of Homo-V t and Hetero-V t, we can see that the average yeld s mproved by 5% va applyng dfferent V t for logc blocks and nterconnect. Therefore, ntroducng heterogeneous-v t could mprove yeld wth no or lttle area ncrease (due to an ncrease n dopng well area). Furthermore, power-gatng can be appled to unused FPGA logc blocks and nterconnect to reduce leakage power. As only one sleep transstor s used for one logc block, we use a 10X PMOS as the sleep transstor for each logc block. For nterconnect, the area overhead assocated wth sleep transstors s more sgnfcant. We therefore use a X PMOS as the sleep transstor for each nterconnect swtch. Comparng the yeld of Homo-V t and Homo-V t+g n Table 3, applyng power-gatng can mprove the yeld by 8%. Comparng the yeld of Hetero-V t and Homo-V t+g, power-gatng can obtan more yeld mprovement than heterogeneous-v t at the cost of chp-level area overhead between 10% to 0%. As leakage power can be greatly reduced by power-gatng, lttle beneft can be ntroduced by applyng smultaneous heterogeneous-v t and power-gatng, and we wll not present the results here. Agan, wth heterogeneous-v t or power-gatng, LUT sze K=4 s the best for leakage yeld rate. 5. Tmng Yeld For tmng yeld analyss, we only analyze the delay of the largest MCNC benchmark clma. Smlarly, the tmng yeld s often studed usng selected test crcut such as rng oscllator for ASIC n the lterature. Fgure 3 shows the delay wth ntra-de and nter-de channel length varaton at baselne archtecture (8, 4) wth ITRS devce settng. As shown n the fgure, there s a 1.9X span wth ±3σ L g varaton, and a 1.1X span wthout L g varaton. Clearly, delay s more senstve to nter-de varaton than wthn-de varaton. Ths s because of the ndependence of local L ef f varaton between each element. Therefore the effect of wthn-de L ef f varaton tends to average out when the crtcal path s long enough. For tmng yeld, we dscard des wth crtcal delay larger than the cutoff delay, whch s 1.1X of the nomnal crtcal path delay of each archtecture. Table 4 shows the delay yeld of Homo-V t+g. One can see from ths table that a larger LUT sze wll gve a hgher yeld rate. Ths s because a larger LUT sze generally gves a smaller mean delay wth a shorter crtcal path (see Fg ),.e., smaller number of elements n the path, whch leads to a smaller varance. Therefore, a larger LUT sze leads to a hgher tmng yeld. As the tmng specfcaton may be relaxed for certan applcatons that are not tmng-crtcal, the cutoff delay may be relaxed n ths case. In ths table, we also show the yeld wth the cutoff delay as 1.X of the nomnal delay. The yeld rate under a hgher cutoff stll has the same trend as that under a lower cutoff. Note that the other archtecture classes have smlar trends on tmng yeld. Y1.1X(%) Y1.X(%) Mean (ns) (6,4) (8,4) (10,4) (1,4) (6,5) (8,5) (10,5) (6,6) (8,6) (6,7) Avg Table 4: Tmng yeld for Homo-V t+g 5.3 Leakage and Tmng Combned Yeld Fgure 4 presents the leakage and delay varaton for the baselne case usng Monte Carlo smulaton wth Ptrace. It can be seen that a smaller delay leads to a larger leakage n general. Ths s because of the nverse correlaton between crcut delay and leakage. A devce wth short channel length has a small delay and consumes large leakage, whch may lead to a hgh leakage. To calculate the leakage and delay combned yeld, we set the cutoff leakage as the nomnal leakage plus 30% that of the baselne, whle the cutoff delay s 1.X of each archtecture s nomnal delay. Table 5 presents the combned yeld for Homo-V t wth ITRS devce settng and all classes wth mn-ed devce settng. The area overhead ntroduced by power-gatng s also presented n the table. Comparng Homo-V t wth ITRS devce settng and mn-ed devce settng, the combned yeld s mproved by 1%. Comparng the classes usng mn-ed devce settng, Hetero-V t has a 3% hgher yeld than Homo-V t due to heterogeneous-v t whle Homo-V t+g has a 8% hgher yeld than Homo-V t due to power-gatng. Homo- V t+g has the hghest combned yeld wth an average of 16% area overhead. Devce tunng and power-gatng mprove yeld by 9% comparng Homo-V t+g wth mn-ed settng to Homo-V t wth ITRS settng. Ths table also shows that archtectures wth LUT sze 5 gves the hghest yeld wthn each class. Ths s because t has both a relatvely hgh leakage yeld as well as tmng yeld. 6. CONCLUSIONS AND DISCUSSIONS In ths paper, we have developed effcent models for chp-level leakage varaton and system tmng varaton n FPGAs. Experments show that our models are wthn 3% from Monte Carlo smulaton, and the leakage and delay varatons can be up to 3X and 1.9X, respectvely. In addton, leakage s more senstve to wthn-de varatons compared to de-to-de varatons, but tmng s more senstve to de-to-de varatons. We have shown that archtecture and devce tunng has a sgnfcant mpact on FPGA parametrc yeld rate. LUT sze 4 has the hghest leakage yeld, 7 3

6 Homo-V t Hetero-V t Homo-V t+g (N,K) Vdd V t Y Mean SD Vdd CV t IV t Y Mean SD Vdd V t Y Mean SD (V) (V) (%) (W) (%) (V) (V) (V) (%) (W) (%) (V) (V) (%) (W) (%) (6,4) (8,4) (10,4) (1,4) (6,5) (8,5) (10,5) (6,6) (8,6) (6,7) Avg Table 3: Comparson of leakage yeld between classes. Normalzed Leakage Power % Leakage 10% Delay Normalzed Delay Fgure 4: Leakage and delay of baselne archtecture (N=8, K=4) wth ITRS settng under process varatons. ITRS Mn-ED (N,K) Homo-V t Homo-V t Hetero-V t Homo-V t+g Y(%) Y(%) Y(%) Y(%) Area Inc(%) (6,4) (8,4) (10,4) (1,4) (6,5) (8,5) (10,5) (6,6) (8,6) (6,7) Avg Table 5: Combned Leakage-delay yeld between FPGA Classes. [3] A. Gattker, S. Nassf, R. Dnakar, and C. Long, Tmng yeld estmaton from statc tmng analyss, n Internatonal Symposum on Qualty of Electronc Desgn, 001. [4] R. Rao, A. Devgan, D. Blaauw, and D. Sylvester, Parametrc yeld estmaton consderng leakage varablty, n Proc. Desgn Automaton Conf., June 004. [5] S. Zhang, V. Wason, and K. Banerjee, A probablstc framework to estmate full-chp subthreshold leakage power dstrbuton consderng wthn-de and de-to-de p-t-v varatons, n ISLPED, Aug 004. [6] V. Betz, J. Rose, and A. Marquardt, Archtecture and CAD for Deep-Submcron FPGAs. Kluwer Academc Publshers, Feb [7] V. Betz and J. Rose, FPGA routng archtecture: Segmentaton and bufferng to optmze speed and densty, n Proc. ACM Intl. Symp. Feld-Programmable Gate Arrays, Feb [8] E. Ahmed and J. Rose, The effect of LUT and cluster sze on deep-submcron FPGA performance and densty, n Proc. ACM Intl. Symp. Feld-Programmable Gate Arrays, pp. 3 1, Feb 000. [9] F. L, D. Chen, L. He, and J. Cong, Archtecture evaluaton for power-effcent FPGAs, n Proc. ACM Intl. Symp. Feld-Programmable Gate Arrays, Feb 003. [10] Y. Ln, F. L, and L. He, Power modelng and archtecture evaluaton for FPGA wth novel crcuts for vdd programmablty, n Proc. ACM Intl. Symp. Feld-Programmable Gate Arrays, February 005. [11] L. Cheng, P. Wong, F. L, Y. Ln, and L. He, Devce and archtecture co-optmzaton for FPGA power reducton, n Proc. Desgn Automaton Conf., June 005. has the hghest tmng yeld, but LUT sze 5 acheves the maxmum combned leakage and tmng yeld. We assume a fxed nterconnect structure n ths paper, and wll study the mpact of herarchcal nterconnect structure wth process varatons n the future. 7. REFERENCES [1] S. Borkar, T. Karnk, S. Narendra, J. Tschanz, A. Keshavarz, and V. De, Parameter varatons and mpact on crcuts and mcroarchtecture, n Proc. Desgn Automaton Conf., June 003. [] S. R. Nassf, Modelng and analyss of manufacturng varatons, n Proc. IEEE Custom Integrated Crcuts Conf.,

Program Phase and Runtime Distribution-Aware Online DVFS for Combined Vdd/Vbb Scaling

Program Phase and Runtime Distribution-Aware Online DVFS for Combined Vdd/Vbb Scaling Program Phase and Runtme Dstrbuton-Aware Onlne DVFS for Combned Vdd/Vbb Scalng Jungsoo Km, Sungjoo Yoo, and Chong-Mn Kyung Dept. of EECS at KAIST jskm@vslab.kast.ac.kr, kyung@ee.kast.ac.kr Dept. of EE

More information

Appendix 6.1 The least-cost theorem and pollution control

Appendix 6.1 The least-cost theorem and pollution control Appendx 6.1 The least-cost theorem and polluton control nstruments Ths appendx s structured as follows. In Part 1, we defne the notaton used and set the scene for what follows. Then n Part 2 we derve a

More information

1 Basic concepts for quantitative policy analysis

1 Basic concepts for quantitative policy analysis 1 Basc concepts for quanttatve polcy analyss 1.1. Introducton The purpose of ths Chapter s the ntroducton of basc concepts of quanttatve polcy analyss. They represent the components of the framework adopted

More information

Evaluating the statistical power of goodness-of-fit tests for health and medicine survey data

Evaluating the statistical power of goodness-of-fit tests for health and medicine survey data 8 th World IMACS / MODSIM Congress, Carns, Australa 3-7 July 29 http://mssanz.org.au/modsm9 Evaluatng the statstcal power of goodness-of-ft tests for health and medcne survey data Steele, M.,2, N. Smart,

More information

Sporlan Valve Company

Sporlan Valve Company F21O-10-12 LMTED: M & W What s a TEV Settng? For years Sporlan and every other manufacturer oftevs has used regulated arflow and controlled temperature baths to establsh a "factory" settng. Typcally the

More information

Floorplanning with IR-drop consideration

Floorplanning with IR-drop consideration Floorplannng wth IR-drop consderaton Jan Chen 1, Chonghong Zhao 1, Dan Zhou 2, Xaofang Zhou 1 1 ASIC & System State-key Lab 2 Department of Electrcal Engneerng Mcroelectroncs Department School of Engneerng

More information

FIN DESIGN FOR FIN-AND-TUBE HEAT EXCHANGER WITH MICROGROOVE SMALL DIAMETER TUBES FOR AIR CONDITIONER

FIN DESIGN FOR FIN-AND-TUBE HEAT EXCHANGER WITH MICROGROOVE SMALL DIAMETER TUBES FOR AIR CONDITIONER FIN DESIGN FOR FIN-AND-TUBE HEAT EXCHANGER WITH MICROGROOVE SMALL DIAMETER TUBES FOR AIR CONDITIONER Yfeng Gao (a), J Song (a), Jngdan Gao (b), Guolang Dng (b)* (a) Internatonal Copper Assocaton Shangha

More information

A Multi-Product Reverse Logistics Model for Third Party Logistics

A Multi-Product Reverse Logistics Model for Third Party Logistics 2011 Internatonal Conference on Modelng, Smulaton and Control IPCSIT vol.10 (2011) (2011) IACSIT Press, Sngapore A Mult-Product Reverse Logstcs Model for Thrd Party Logstcs Tsa-Yun Lao, Agatha Rachmat

More information

6.4 PASSIVE TRACER DISPERSION OVER A REGULAR ARRAY OF CUBES USING CFD SIMULATIONS

6.4 PASSIVE TRACER DISPERSION OVER A REGULAR ARRAY OF CUBES USING CFD SIMULATIONS 6.4 PASSIVE RACER DISPERSION OVER A REGULAR ARRAY OF CUBES USING CFD SIMULAIONS Jose Lus Santago *, Alberto Martll and Fernando Martn CIEMA (Center for Research on Energy, Envronment and echnology). Madrd,

More information

Experimental Validation of a Suspension Rig for Analyzing Road-induced Noise

Experimental Validation of a Suspension Rig for Analyzing Road-induced Noise Expermental Valdaton of a Suspenson Rg for Analyzng Road-nduced Nose Dongwoo Mn 1, Jun-Gu Km 2, Davd P Song 3, Yunchang Lee 4, Yeon June Kang 5, Kang Duc Ih 6 1,2,3,4,5 Seoul Natonal Unversty, Republc

More information

emissions in the Indonesian manufacturing sector Rislima F. Sitompul and Anthony D. Owen

emissions in the Indonesian manufacturing sector Rislima F. Sitompul and Anthony D. Owen Mtgaton optons for energy-related CO 2 emssons n the Indonesan manufacturng sector Rslma F. Stompul and Anthony D. Owen School of Economcs, The Unversty of New South Wales, Sydney, Australa Why mtgaton

More information

Evaluating The Performance Of Refrigerant Flow Distributors

Evaluating The Performance Of Refrigerant Flow Distributors Purdue Unversty Purdue e-pubs Internatonal Refrgeraton and Ar Condtonng Conference School of Mechancal Engneerng 2002 Evaluatng The Performance Of Refrgerant Flow Dstrbutors G. L Purdue Unversty J. E.

More information

Application of Ant colony Algorithm in Cloud Resource Scheduling Based on Three Constraint Conditions

Application of Ant colony Algorithm in Cloud Resource Scheduling Based on Three Constraint Conditions , pp.215-219 http://dx.do.org/10.14257/astl.2016.123.40 Applcaton of Ant colony Algorthm n Cloud Resource Schedulng Based on Three Constrant Condtons Yang Zhaofeng, Fan Awan Computer School, Pngdngshan

More information

Extended Abstract for WISE 2005: Workshop on Information Systems and Economics

Extended Abstract for WISE 2005: Workshop on Information Systems and Economics Extended Abstract for WISE 5: Workshop on Informaton Systems and Economcs How Many Bundles?:An Analyss on Customzed Bundlng of Informaton Goods wth Multple Consumer Types Wendy HUI Ph.D. Canddate Department

More information

DESIGN AND IMPLEMENTATION OF HYBRID CASCADED ENERGY EFFICIENT KOGGE STONE ADDER

DESIGN AND IMPLEMENTATION OF HYBRID CASCADED ENERGY EFFICIENT KOGGE STONE ADDER ARPN Journal of Engneerng and Appled Scences 2006-2017 Asan Research Publshng Network (ARPN). All rghts reserved. DESIGN AND IMPLEMENTATION OF HYBRID CASCADED ENERGY EFFICIENT KOGGE STONE ADDER J. Vgnesh

More information

Experiments with Protocols for Service Negotiation

Experiments with Protocols for Service Negotiation PROCEEDINGS OF THE WORKSHOP ON APPLICATIONS OF SOFTWARE AGENTS ISBN 978-86-7031-188-6, pp. 25-31, 2011 Experments wth Protocols for Servce Negotaton Costn Bădcă and Mhnea Scafeş Unversty of Craova, Software

More information

CYCLE TIME VARIANCE MINIMIZATION FOR WIP BALANCE APPROACHES IN WAFER FABS. Zhugen Zhou Oliver Rose

CYCLE TIME VARIANCE MINIMIZATION FOR WIP BALANCE APPROACHES IN WAFER FABS. Zhugen Zhou Oliver Rose Proceedngs of the 013 Wnter Smulaton Conference R. Pasupathy, S.-H. Km, A. Tolk, R. Hll, and M. E. Kuhl, eds CYCLE TIME VARIANCE MINIMIZATION FOR WIP BALANCE APPROACHES IN WAFER FABS Zhugen Zhou Olver

More information

INTERCONNECTION AND GATE DELAYS IN CMOS VLSI CIRCUITS

INTERCONNECTION AND GATE DELAYS IN CMOS VLSI CIRCUITS INTERCONNECTION AND GATE DELAYS IN CMOS VLSI CIRCUITS El beta PIWOWARSKA Warsaw Unversty of Technology, Insttute of Mcroelectroncs & Optoelectroncs ul. Koszykowa 75, 00-662 Warszawa, POLAND tel./fax: (48)-(22)-25-30-55,

More information

A SIMULATION STUDY OF QUALITY INDEX IN MACHINE-COMPONF~T GROUPING

A SIMULATION STUDY OF QUALITY INDEX IN MACHINE-COMPONF~T GROUPING A SMULATON STUDY OF QUALTY NDEX N MACHNE-COMPONF~T GROUPNG By Hamd Sefoddn Assocate Professor ndustral and Manufacturng Engneerng Department Unversty of Wsconsn-Mlwaukee Manocher Djassem Assstant Professor

More information

A Group Decision Making Method for Determining the Importance of Customer Needs Based on Customer- Oriented Approach

A Group Decision Making Method for Determining the Importance of Customer Needs Based on Customer- Oriented Approach Proceedngs of the 010 Internatonal Conference on Industral Engneerng and Operatons Management Dhaka, Bangladesh, January 9 10, 010 A Group Decson Makng Method for Determnng the Importance of Customer Needs

More information

Spatial Analysis of Ring Oscillator Devices

Spatial Analysis of Ring Oscillator Devices 6.780 Term Project Spatal Analyss of Rng Oscllator Devces A. Soman, L. W. Teo, and D. S. Bonng, Member, IEEE Abstract Spatal dependences tend to ntroduce correlatons among parameter values obtaned from

More information

CIRCUIT performance variability continues to increase as

CIRCUIT performance variability continues to increase as Ths artcle has been accepted for ncluson n a future ssue of ths journal. Content s fnal as presented, wth the excepton of pagnaton. IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Synthess

More information

WISE 2004 Extended Abstract

WISE 2004 Extended Abstract WISE 2004 Extended Abstract Does the Internet Complement Other Marketng Channels? Evdence from a Large Scale Feld Experment Erc Anderson Kellogg School of Management, Northwestern Unversty Erk Brynjolfsson

More information

Optimization of Resistance Spot Weld Parameters using Grey Relational Analysis

Optimization of Resistance Spot Weld Parameters using Grey Relational Analysis IJSRD - Internatonal Journal for Scentfc Research & Development Vol. 4, Issue 10, 2016 ISSN (onlne): 2321-0613 Optmzaton of Resstance Spot Weld Parameters usng Grey Relatonal Analyss Mayank Sao 1 Dr. (Mr.)

More information

RULEBOOK on the manner of determining environmental flow of surface water

RULEBOOK on the manner of determining environmental flow of surface water Pursuant to Artcle 54 paragraph 2 of the Law on Waters (Offcal Gazette of the Republc of Montenegro 27/07 and Offcal Gazette of Montenegro 32/11 and 48/15), the Mnstry of Agrculture and Rural Development

More information

Reliability Assessment of Microgrid with Renewable Generation and Prioritized Loads

Reliability Assessment of Microgrid with Renewable Generation and Prioritized Loads Relablty Assessment of Mcrogrd wth Renewable Generaton and Prortzed Loads Osama Aslam Ansar, Nma Safar, and C. Y. Chung Smart Grd and Renewable Energy Technology (SMART) Lab Department of Electrcal and

More information

RELIABILITY-BASED OPTIMAL DESIGN FOR WATER DISTRIBUTION NETWORKS OF EL-MOSTAKBAL CITY, EGYPT (CASE STUDY)

RELIABILITY-BASED OPTIMAL DESIGN FOR WATER DISTRIBUTION NETWORKS OF EL-MOSTAKBAL CITY, EGYPT (CASE STUDY) Twelfth Internatonal Water Technology Conference, IWTC12 2008 Alexandra, Egypt 1 RELIABILITY-BASED OPTIMAL DESIGN FOR WATER DISTRIBUTION NETWORKS OF EL-MOSTAKBAL CITY, EGYPT (CASE STUDY) Rham Ezzeldn *,

More information

Finite Element Analysis and Optimization for the Multi- Stage Deep Drawing of Molybdenum Sheet

Finite Element Analysis and Optimization for the Multi- Stage Deep Drawing of Molybdenum Sheet Fnte Element Analyss and Optmzaton for the Mult- Deep of Molybdenum Sheet Heung-Kyu Km a,*, Seok Kwan Hong a, Jong-Kl Lee b, Byung-Hee Jeon c, Jeong Jn Kang a, and Young-moo Heo a a Precson Molds and Des

More information

A Two-Echelon Inventory Model for Single-Vender and Multi-Buyer System Through Common Replenishment Epochs

A Two-Echelon Inventory Model for Single-Vender and Multi-Buyer System Through Common Replenishment Epochs A Two-Echelon Inventory Model for Sngle-Vender and Mult-Buyer System Through Common Replenshment Epochs Wen-Jen Chang and Chh-Hung Tsa Instructor Assocate Professor Department of Industral Engneerng and

More information

Simulation of Steady-State and Dynamic Behaviour of a Plate Heat Exchanger

Simulation of Steady-State and Dynamic Behaviour of a Plate Heat Exchanger Journal of Energy and Power Engneerng 10 (016) 555-560 do: 10.1765/1934-8975/016.09.006 D DAVID PUBLISHING Smulaton of Steady-State and Dynamc Behavour of a Plate Heat Exchanger Mohammad Aqeel Sarareh

More information

K vary over their feasible values. This allows

K vary over their feasible values. This allows Proceedngs of the 2007 INFORMS Smulaton Socety Research Workshop. MULTI-PRODUCT CYCLE TIME AND THROUGHPUT EVALUATION VIA SIMULATION ON DEMAND John W. Fowler Gerald T. Mackulak Department of Industral Engneerng

More information

Analyses Based on Combining Similar Information from Multiple Surveys

Analyses Based on Combining Similar Information from Multiple Surveys Secton on Survey Research Methods JSM 009 Analyses Based on Combnng Smlar Informaton from Multple Surveys Georga Roberts, Davd Bnder Statstcs Canada, Ottawa Ontaro Canada KA 0T6 Statstcs Canada, Ottawa

More information

Calculation and Prediction of Energy Consumption for Highway Transportation

Calculation and Prediction of Energy Consumption for Highway Transportation Calculaton and Predcton of Energy Consumpton for Hghway Transportaton Feng Qu, Wenquan L *, Qufeng Xe, Peng Zhang, Yueyng Huo School of Transportaton, Southeast Unversty, Nanjng 210096, Chna; *E-mal: wenql@seu.edu.cn

More information

Study on Productive Process Model Basic Oxygen Furnace Steelmaking Based on RBF Neural Network

Study on Productive Process Model Basic Oxygen Furnace Steelmaking Based on RBF Neural Network IJCSI Internatonal Journal of Computer Scence Issues, Vol., Issue 3, No 2, May 24 ISSN (Prnt): 694-84 ISSN (Onlne): 694-784 www.ijcsi.org 7 Study on Productve Process Model Basc Oxygen Furnace Steelmakng

More information

COMPARISON ANALYSIS AMONG DIFFERENT CALCULATION METHODS FOR THE STATIC STABILITY EVALUATION OF TAILING DAM

COMPARISON ANALYSIS AMONG DIFFERENT CALCULATION METHODS FOR THE STATIC STABILITY EVALUATION OF TAILING DAM Blucher Mechancal Engneerng Proceedngs May 2014, vol. 1, num. 1 www.proceedngs.blucher.com.br/evento/10wccm COMPARISON ANALYSIS AMONG DIFFERENT CALCULATION METHODS FOR THE STATIC STABILITY EVALUATION OF

More information

A Scenario-Based Objective Function for an M/M/K Queuing Model with Priority (A Case Study in the Gear Box Production Factory)

A Scenario-Based Objective Function for an M/M/K Queuing Model with Priority (A Case Study in the Gear Box Production Factory) Proceedngs of the World Congress on Engneerng 20 Vol I WCE 20, July 6-8, 20, London, U.K. A Scenaro-Based Objectve Functon for an M/M/K Queung Model wth Prorty (A Case Study n the Gear Box Producton Factory)

More information

Temperature Dependent Performance of Multiwalled Carbon Nanotubes as VLSI Interconnects for Variable Interconnects Length

Temperature Dependent Performance of Multiwalled Carbon Nanotubes as VLSI Interconnects for Variable Interconnects Length , October 9-, 06, San Francsco, USA Temperature Dependent Performance of Multwalled Carbon Nanotubes as VLSI Interconnects for Varable Interconnects Length Karmjt Sngh Sandha Member, IAENG and Balwnder

More information

Spatial difference of regional carbon emissions in China

Spatial difference of regional carbon emissions in China Avalable onlne www.jocpr.com Journal of Chemcal and Pharmaceutcal Research, 2014, 6(7): 2741-2745 Research Artcle ISSN : 0975-7384 CODEN(USA) : JCPRC5 Spatal dfference of regonal carbon emssons n Chna

More information

Model-based Optimal Control of Variable Air Volume Terminal Box

Model-based Optimal Control of Variable Air Volume Terminal Box Purdue Unversty Purdue e-pubs Internatonal Hgh Performance Buldngs Conference School of Mechancal Engneerng 216 Model-based Optmal Control of Varable Ar Volume ermnal Box Fuxn Nu he unversty of alabama,

More information

Thermodynamic Analysis of Compressed Air Energy Storage under. Various Ambient Temperature

Thermodynamic Analysis of Compressed Air Energy Storage under. Various Ambient Temperature 7:2 (2015) Journal of Modelng and Optmzaton Thermodynamc Analyss of Compressed Ar Energy Storage under Varous Ambent Temperature Qng He, Hu Lu School of Energy Power and Mechancal Engneerng, North Chna

More information

Construction of Control Chart Based on Six Sigma Initiatives for Regression

Construction of Control Chart Based on Six Sigma Initiatives for Regression 2018 IJSRST Volume 4 Issue 2 Prnt ISSN: 2395-6011 Onlne ISSN: 2395-602X Themed Secton: Scence and Technology Constructon of Control Chart Based on Sx Sgma Intatves for Regresson ABSTRACT R. Radhakrshnan

More information

Evaluating Clustering Methods for Multi-Echelon (r,q) Policy Setting

Evaluating Clustering Methods for Multi-Echelon (r,q) Policy Setting Proceedngs of the 2007 Industral Engneerng Research Conference G. Bayraksan W. Ln Y. Son and R. Wysk eds. Evaluatng Clusterng Methods for Mult-Echelon (r) Polcy Settng Vkram L. Desa M.S.; Manuel D. Rossett

More information

Fast Algorithm for Prediction of Airfoil Anti-icing Heat Load *

Fast Algorithm for Prediction of Airfoil Anti-icing Heat Load * Energy and Power Engneerng, 13,, 493-497 do:.4236/epe.13.4b09 Publshed Onlne July 13 (http://www.scrp.org/ournal/epe) Fast Algorthm for Predcton of Arfol Ant-cng Heat * Xueqn Bu, Ru Yang, Ja Yu, Xaobn

More information

Prediction algorithm for users Retweet Times

Prediction algorithm for users Retweet Times , pp.9-3 http://dx.do.org/0.457/astl.05.83.03 Predcton algorthm for users Retweet Tmes Hahao Yu, Xu Feng Ba,ChengZhe Huang, Haolang Q Helongang Insttute of Technology, Harbn, Chna Abstract. In vew of the

More information

High impact force attenuation of reinforced concrete systems

High impact force attenuation of reinforced concrete systems Structures Under Shock and Impact XII 273 Hgh mpact force attenuaton of renforced concrete systems Y. Km, T. El-Korch & K. S. Arsava Department of Cvl and Envronmental Engneerng, Worcester Polytechnc Insttute

More information

Impacts of Generation-Cycling Costs on Future Electricity Generation Portfolio Investment

Impacts of Generation-Cycling Costs on Future Electricity Generation Portfolio Investment 1 mpacts of Generaton-Cyclng Costs on Future Electrcty Generaton Portfolo nvestment P. Vthayasrchareon, Member, EEE, and. F. MacGll, Member, EEE Abstract Ths paper assesses the mpacts of ncorporatng short-term

More information

LIFE CYCLE ENVIRONMENTAL IMPACTS ASSESSMENT FOR RESIDENTIAL BUILDINGS IN CHINA

LIFE CYCLE ENVIRONMENTAL IMPACTS ASSESSMENT FOR RESIDENTIAL BUILDINGS IN CHINA Proceedngs: Indoor Ar 2005 LIFE CYCLE ENVIRONMENTAL IMPACTS ASSESSMENT FOR RESIDENTIAL BUILDINGS IN CHINA DJ Gu 1,*, JJ Lu 1, LJ Gu 1 1 Department of Buldng Scence, School of Archtecture, Tsnghua Unversty,

More information

Reprint from "MPT-Metallurgical P(ant and Technology International" issue No. 2/1990, pages Optimization of. Tempcore installations for

Reprint from MPT-Metallurgical P(ant and Technology International issue No. 2/1990, pages Optimization of. Tempcore installations for Reprnt from "MPT-Metallurgcal P(ant and Technology nternatonal" ssue No. 2/990, pages 6-69 (Ç 990, Verlag StahlesenmbH, Düsseldorf Optmzaton of. Tempcore nstallatons for rebars Perre Smon, Centre de Recherches

More information

ASSESSMENT OF THE IMPACT OF DECAY CORRECTION IN THE DOSE-TO- CURIE METHOD FOR LONG-TERM STORED RADIOACTIVE WASTE DRUMS

ASSESSMENT OF THE IMPACT OF DECAY CORRECTION IN THE DOSE-TO- CURIE METHOD FOR LONG-TERM STORED RADIOACTIVE WASTE DRUMS ASSESSMENT OF THE IMPACT OF DECAY CORRECTION IN THE DOSE-TO- CURIE METHOD FOR LONG-TERM STORED RADIOACTIVE WASTE DRUMS K. H. Hwang, S. C. Lee, S. H. Kang, K. J. Lee Korea Advanced Insttute of Scence and

More information

International Trade and California Employment: Some Statistical Tests

International Trade and California Employment: Some Statistical Tests Internatonal Trade and Calforna Employment: Some Statstcal Tests Professor Dwght M. Jaffee Fsher Center for Real Estate and Urban Economcs Haas School of Busness Unversty of Calforna Berkeley CA 94720-1900

More information

Development trajectory of energy consumption and carbon emissions in developing countries

Development trajectory of energy consumption and carbon emissions in developing countries Ar Polluton XIV 667 Development trajectory of energy consumpton and carbon emssons n developng countres A. Dela Cruz Generosa & T. Fujta Graduate School of Economcs, Kyushu Unversty, Japan Abstract Ths

More information

Wind Power Prediction Using a Hybrid Approach with Correction Strategy Based on Risk Evaluation

Wind Power Prediction Using a Hybrid Approach with Correction Strategy Based on Risk Evaluation M.Essa et al., Vol.7, No.3, 7 Wnd Power Predcton Usng a Hybrd Approach wth Correcton Strategy Based on Rsk Evaluaton Mohammed Essa*, Yu Jla*, Wang Songyan*, Peng Lu* *School of Electrcal Engneerng and

More information

Bulletin of Energy Economics.

Bulletin of Energy Economics. Bulletn of Energy Economcs http://www.tesdo.org/journaldetal.aspx?id=4 Energy Intensty and Technology Sourcng: A Study of Manufacturng Frms n Inda Santosh Kumar Sahu a,, K. Narayanan b a Madras School

More information

THE STUDY OF GLOBAL LAND SUITABILITY EVALUATION: A CASE OF POTENTIAL PRODUCTIVITY ESTIMATION FOR WHEAT

THE STUDY OF GLOBAL LAND SUITABILITY EVALUATION: A CASE OF POTENTIAL PRODUCTIVITY ESTIMATION FOR WHEAT THE STUDY OF GLOBAL LAND SUITABILITY EVALUATION: A CASE OF POTENTIAL PRODUCTIVITY ESTIMATION FOR WHEAT Guoxn TAN, Ryosuke SHIBASAKI, K S RAJAN Insttute of Industral Scence, Unversty of Tokyo 4-6-1 Komaba,

More information

THE HEAT AND FLUID FLOW ANALYSIS FOR WATER HEATER

THE HEAT AND FLUID FLOW ANALYSIS FOR WATER HEATER Ln, C.-N., et al.: The Heat and Flud Flow Analyss for Water Heater S81 THE HEAT AND FLUID FLOW ANALYSIS FOR WATER HEATER by Chen-Nan LIN a*, Cheng-Ch WANG a, and Y-Pn KUO b a Department of Mechancal Engneerng,

More information

Modeling and Simulation for a Fossil Power Plant

Modeling and Simulation for a Fossil Power Plant Modelng and Smulaton for a Fossl Power Plant KWANG-HUN JEONG *, WOO-WON JEON, YOUNG-HOON BAE AND KI-HYUN LEE Corporate R&D Insttute Doosan Heavy Industres and Constructon Co., Ltd 555, Gwgo-dong, Changwon,

More information

Characteristics of Cascade and C3MR Cycle on Natural Gas Liquefaction Process

Characteristics of Cascade and C3MR Cycle on Natural Gas Liquefaction Process Characterstcs of Cascade and C3MR Cycle on atural Gas Lquefacton Process Jung-n Yoon, Ho-saeng Lee, Seung-taek Oh, Sang-gyu Lee and Keun-hyung Cho Abstract In ths paper, several dfferent types of natural

More information

Characteristics of Cascade and C3MR Cycle on Natural Gas Liquefaction Process

Characteristics of Cascade and C3MR Cycle on Natural Gas Liquefaction Process Characterstcs of Cascade and C3MR Cycle on atural Gas Lquefacton Process Jung-n Yoon, Ho-saeng Lee, Seung-taek Oh, Sang-gyu Lee and Keun-hyung Cho Abstract In ths paper, several dfferent types of natural

More information

Research on chaos PSO with associated logistics transportation scheduling under hard time windows

Research on chaos PSO with associated logistics transportation scheduling under hard time windows Advanced Scence and Technology Letters Vol76 (CA 014), pp75-83 http://dxdoorg/101457/astl0147618 Research on chaos PSO wth assocated logstcs transportaton schedulng under hard tme wndows Yuqang Chen 1,

More information

Optimization of Technological Water Consumption for an Industrial Enterprise with Self-Supply System

Optimization of Technological Water Consumption for an Industrial Enterprise with Self-Supply System , March 13-15, 2013, Hong Kong Optmzaton of Technologcal Water Consumpton for an Industral Enterprse wth Self-Supply System Ioan Sarbu, Gabrel Ostafe and Emlan Stefan Valea Abstract Modern ndustry uses

More information

Optimal Issuing Policies for Substitutable Fresh Agricultural Products under Equal Ordering Policy

Optimal Issuing Policies for Substitutable Fresh Agricultural Products under Equal Ordering Policy 06 Internatonal Academc Conference on Human Socety and Culture (HSC 06) ISBN: 978--60595-38-6 Optmal Issung Polces for Substtutable Fresh Agrcultural Products under Eual Orderng Polcy Qao- TENG,a, and

More information

Spot Welding Parameter Optimization To Improve Weld Characteristics For Dissimilar Metals

Spot Welding Parameter Optimization To Improve Weld Characteristics For Dissimilar Metals Spot Weldng Parameter Optmzaton To Improve Weld Characterstcs For Dssmlar Metals Aravnthan Arumugam, MohdAmz Nor Abstract: Resstance spot weldng s a process whch s wdely used n the automotve ndustry to

More information

An Analysis of Auction Volume and Market Competition for the Coastal Forest Regions in British Columbia

An Analysis of Auction Volume and Market Competition for the Coastal Forest Regions in British Columbia An Analyss of Aucton Volume and Market Competton for the Coastal Forest Regons n Brtsh Columba Susan Athey, Peter Cramton, and Allan Ingraham 1 Market Desgn Inc. and Crteron Auctons 0 September 00 The

More information

Practical Application Of Pressure-Dependent EPANET Extension

Practical Application Of Pressure-Dependent EPANET Extension Cty Unversty of New York (CUNY) CUNY Academc Works Internatonal Conference on Hydronformatcs 8-1-2014 Practcal Applcaton Of Pressure-Dependent EPANET Extenson Alemtsehay G. Seyoum Tku T. Tanymboh Follow

More information

Identifying Factors that Affect the Downtime of a Production Process

Identifying Factors that Affect the Downtime of a Production Process Identfyng Factors that Affect the Downtme of a Producton Process W. Nallaperuma 1 *, U. Ekanayake 1, Ruwan Punch-Manage 2 1 Department of Physcal scences, Rajarata Unversty, Sr Lanka 2 Department of Statstcs

More information

Simulation of the Cooling Circuit with an Electrically Operated Water Pump

Simulation of the Cooling Circuit with an Electrically Operated Water Pump Smulaton of the Coolng Crcut wth an Electrcally Operated Water Pump Dragan Smc, Chrstan Kral, Franz Prker Arsenal Research Faradaygasse 3, Object 1 A 1030 Venna, Austra phone: + 43 (0) 50 550-6347 fax:

More information

TRAFFIC SIGNAL CONTROL FOR REDUCING VEHICLE CARBON DIOXIDE EMISSIONS ON AN URBAN ROAD NETWORK

TRAFFIC SIGNAL CONTROL FOR REDUCING VEHICLE CARBON DIOXIDE EMISSIONS ON AN URBAN ROAD NETWORK TRAFFIC SIGNAL CONTROL FOR REDUCING VEHICLE CARBON DIOXIDE EMISSIONS ON AN URBAN ROAD NETWORK Toshhko Oda (1), Masao Kuwahara (2) and Satosh Nkura (3) (1) Panasonc System Solutons Company, Matsushta Electrc

More information

Planning of work schedules for toll booth collectors

Planning of work schedules for toll booth collectors Lecture Notes n Management Scence (0) Vol 4: 6 4 4 th Internatonal Conference on Appled Operatonal Research, Proceedngs Tadbr Operatonal Research Group Ltd All rghts reserved wwwtadbrca ISSN 00-0050 (Prnt),

More information

The Spatial Equilibrium Monopoly Models of the Steamcoal Market

The Spatial Equilibrium Monopoly Models of the Steamcoal Market Advances n Management & Appled Economcs, vol.2, no.3, 2012, 125-132 ISSN: 1792-7544 (prnt verson), 1792-7552 (onlne) Scenpress Ltd, 2012 The Spatal Equlbrum Monopoly Models of the Steamcoal Maret Hu Wen

More information

SIMULATION RESULTS ON BUFFER ALLOCATION IN A CONTINUOUS FLOW TRANSFER LINE WITH THREE UNRELIABLE MACHINES

SIMULATION RESULTS ON BUFFER ALLOCATION IN A CONTINUOUS FLOW TRANSFER LINE WITH THREE UNRELIABLE MACHINES Advances n Producton Engneerng & Management 6 (2011) 1, 15-26 ISSN 1854-6250 Scentfc paper SIMULATION RESULTS ON BUFFER ALLOCATION IN A CONTINUOUS FLOW TRANSFER LINE WITH THREE UNRELIABLE MACHINES Sörensen,

More information

Ternary fission of 250,252 Cf isotopes with 3 H and 6 He as light charged particle

Ternary fission of 250,252 Cf isotopes with 3 H and 6 He as light charged particle IOSR Journal of Appled Physcs (IOSR-JAP) e-issn: 78-4861, PP 1-18 www.osrjournals.org Ternary fsson of 5,5 Cf sotopes wth H and 6 He as lght charged partcle Sreejth Krshnan 1, K. P. Santhosh 1 1 (School

More information

1991), a development of the BLAST program which integrates the building zone energy balance with the system and central plant simulation.

1991), a development of the BLAST program which integrates the building zone energy balance with the system and central plant simulation. OPTIMISATION OF MECHANICAL SYSTEMS IN AN INTEGRATED BUILDING ENERGY ANALYSIS PROGRAM: PART I: CONVENTIONAL CENTRAL PLANT EQUIPMENT Russell D. Taylor and Curts O. Pedersen Unversty of Illnos at Urbana-

More information

Using Semi-Empirical Models to Design Timber Platform Frame for Stability

Using Semi-Empirical Models to Design Timber Platform Frame for Stability Usng Sem-Emprcal Models to Desgn Tmber Platform Frame for Stablty Dr Robert Harstans Lecturer n Structural/Tmber Engneerng Centre for Tmber Engneerng, Naper Unversty Ednburgh, UK. Prof Abdy Kerman Professor

More information

Volume 30, Issue 4. Who likes circus animals?

Volume 30, Issue 4. Who likes circus animals? Volume 30, Issue 4 Who lkes crcus anmals? Roberto Zanola Unversty of Eastern Pedmont Abstract Usng a sample based on 268 questonnares submtted to people attendng the Acquatco Bellucc crcus, Italy, ths

More information

OPTIMAL CONTROL THEORY APPLIED TO HYBRID FUEL CELL POWERED VEHICLE. G. Paganelli 1, T.M. Guerra 2, S. Delprat 2 Y. Guezennec 1, G.

OPTIMAL CONTROL THEORY APPLIED TO HYBRID FUEL CELL POWERED VEHICLE. G. Paganelli 1, T.M. Guerra 2, S. Delprat 2 Y. Guezennec 1, G. Copyrght IFAC 15th Trennal World Congress, Barcelona, Span OPTIMAL CONTROL THEORY APPLIED TO HYBRID FUEL CELL POWERED VEHICLE G. Paganell 1, T.M. Guerra, S. Delprat Y. Guezennec 1, G. Rzzon 1 1 Center

More information

Zirconium Solubility in Aluminum Alloys

Zirconium Solubility in Aluminum Alloys roceedngs of the 9 th Internatonal Conference on umnum loys (2004) 1353 Edted by J.F. Ne, A.J. Morton and B.C. Muddle Insttute of Materals Engneerng Australasa Ltd Zrconum Solublty n umnum loys C. Sgl

More information

MULTIPLE FACILITY LOCATION ANALYSIS PROBLEM WITH WEIGHTED EUCLIDEAN DISTANCE. Dileep R. Sule and Anuj A. Davalbhakta Louisiana Tech University

MULTIPLE FACILITY LOCATION ANALYSIS PROBLEM WITH WEIGHTED EUCLIDEAN DISTANCE. Dileep R. Sule and Anuj A. Davalbhakta Louisiana Tech University MULTIPLE FACILITY LOCATION ANALYSIS PROBLEM WITH WEIGHTED EUCLIDEAN DISTANCE Dleep R. Sule and Anuj A. Davalbhakta Lousana Tech Unversty ABSTRACT Ths paper presents a new graphcal technque for cluster

More information

Consumption capability analysis for Micro-blog users based on data mining

Consumption capability analysis for Micro-blog users based on data mining Consumpton capablty analyss for Mcro-blog users based on data mnng ABSTRACT Yue Sun Bejng Unversty of Posts and Telecommuncaton Bejng, Chna Emal: sunmoon5723@gmal.com Data mnng s an effectve method of

More information

Optimization Of Multiple Performance Characteristics In Electro Discharge Machining Using Grey Relational Analysis

Optimization Of Multiple Performance Characteristics In Electro Discharge Machining Using Grey Relational Analysis Optmzaton Of Multple Performance Characterstcs In Electro Dscharge Machnng Usng Grey Relatonal Analyss Subhakanta Nayak, B.C.Routara Abstract: Ths paper dscusses the applcaton of the Taguch method to optmze

More information

A Market-Driven Approach to Product Family Design

A Market-Driven Approach to Product Family Design Deepak Kumar Graduate Student, Department of Mechancal Engneerng, Northwestern Unversty. Emal: k-dleep@northwestern.edu. We Chen (Correspondng author) Assocate Professor, Department of Mechancal Engneerng,

More information

The Employment Effects of Low-Wage Subsidies

The Employment Effects of Low-Wage Subsidies The Employment Effects of Low-Wage Subsdes Krstna Huttunen Jukka Prttlä Roope Uustalo CESIFO WORKING PAPER NO. 3043 CATEGORY 4: LABOUR MARKETS MAY 2010 An electronc verson of the paper may be downloaded

More information

Thermodynamic Equilibria Modeling of Ternary Systems of Solid Organics in Compressed Carbon Dioxide

Thermodynamic Equilibria Modeling of Ternary Systems of Solid Organics in Compressed Carbon Dioxide Thermodynamc Equlbra Modelng of Ternary Systems of Sold Organcs n Compressed Carbon Doxde Yousef Bakhbakh Department of Chemcal Engneerng, Kng Saud Unversty, P.O. Box 800, Ryadh, 11421, Saud Araba. ybakhbak@ksu.edu.sa

More information

Production Scheduling for Parallel Machines Using Genetic Algorithms

Production Scheduling for Parallel Machines Using Genetic Algorithms Producton Schedulng for Parallel Machnes Usng Genetc Algorthms Chchang Jou 1), Hsn-Chang Huang 2) 1) Tamkang Unversty, Department of Informaton Management (cjou@mal.m.tku.edut.tw) 2) Tamkang Unversty,

More information

U.S. Commercial Buildings Energy Consumption and Intensity Trends: A Decomposition Approach

U.S. Commercial Buildings Energy Consumption and Intensity Trends: A Decomposition Approach U.S. Commercal Buldngs Energy Consumpton and Intensty Trends: A Decomposton Approach by Behjat Hojjat, Ph.D. and Steven H. Wade, Ph.D. Economsts, U.S. Energy Informaton Admnstraton, 1 Independence Avenue,

More information

MEASURING TECHNICAL EFFICIENCY OF ONION (Allium cepa L.) FARMS IN BANGLADESH M. A. BAREE 1. Abstract

MEASURING TECHNICAL EFFICIENCY OF ONION (Allium cepa L.) FARMS IN BANGLADESH M. A. BAREE 1. Abstract ISSN 0258-7122 Bangladesh J. Agrl. Res. 37(1): 171-178, March 2012 MEASURING TECHNICAL EFFICIENCY OF ONION (Allum cepa L.) FARMS IN BANGLADESH M. A. BAREE 1 Abstract An attempt was made to determne the

More information

A TABU SEARCH FOR MULTIPLE MULTI-LEVEL REDUNDANCY ALLOCATION PROBLEM IN SERIES-PARALLEL SYSTEMS

A TABU SEARCH FOR MULTIPLE MULTI-LEVEL REDUNDANCY ALLOCATION PROBLEM IN SERIES-PARALLEL SYSTEMS Internatonal Journal of Industral Engneerng, 18(3), 120-129, 2011. A TABU SEACH FO MULTIPLE MULTI-LEVEL EDUNDANCY ALLOCATION POBLEM IN SEIES-PAALLEL SYSTEMS Kl-Woong Jang and Jae-Hwan Km Department of

More information

Application of a PCA based water quality classification method in water. quality assessment in the Tongjiyan Irrigation Area, China

Application of a PCA based water quality classification method in water. quality assessment in the Tongjiyan Irrigation Area, China Internatonal Conference on Energy and Envronmental Protecton (ICEEP 06) Applcaton of a PCA based water qualty classfcaton method n water qualty assessment n the Tongyan Irrgaton Area, Chna ue-feng Tao,

More information

Comparison of robust M estimator, S estimator & MM estimator with Wiener based denoising filter for gray level image denoising with Gaussian noise

Comparison of robust M estimator, S estimator & MM estimator with Wiener based denoising filter for gray level image denoising with Gaussian noise Comparson of robust M estmator, S estmator & MM estmator wth Wener based denosng flter for gray level mage denosng wth Gaussan nose Mr. Pratyaksh A Maru Electroncs and Communcaton Department, Dr. Jvraj

More information

Econometric Methods for Estimating ENERGY STAR Impacts in the Commercial Building Sector

Econometric Methods for Estimating ENERGY STAR Impacts in the Commercial Building Sector Econometrc Methods for Estmatng ENERGY STAR Impacts n the Commercal Buldng Sector Marvn J. Horowtz, Demand Research Angela Coyle, U.S. Envronmental Protecton Agency ABSTRACT The early stages of developng

More information

OPTIMAL PHASE CHANGE TEMPERATURE FOR BCHP SYSTEM WITH PCM-TES BASED ON ENERGY STORAGE EFFECTIVENESS

OPTIMAL PHASE CHANGE TEMPERATURE FOR BCHP SYSTEM WITH PCM-TES BASED ON ENERGY STORAGE EFFECTIVENESS 1 2 3 4 5 6 7 8 OPIMAL PHASE CHANGE EMPERAURE FOR BCHP SYSEM WIH PCM-ES BASED ON ENERGY SORAGE EFFECIVENESS Yn ZHANG 1*, Xn WANG 2**, Ynpng ZHANG 2 1 College of Archtecture and Envronment, Schuan Unversty,

More information

Optimization of the Size Distribution of Potato (Solanum-tuberosum) Tuber Using a Second-Order Rotatable Design

Optimization of the Size Distribution of Potato (Solanum-tuberosum) Tuber Using a Second-Order Rotatable Design Internatonal Journal of Appled Scence and Technology Vol. 7, No. ; June 07 Optmzaton of the Sze Dstrbuton of Potato (Solanum-tuberosum) Tuber Usng a Second-Order Rotatable Desgn Mr. Julus K. Koech Department

More information

Modelization of a water tank including a PCM module

Modelization of a water tank including a PCM module Appled Thermal Engneerng 26 (2006) 1328 1333 www.elsever.com/locate/apthermeng Modelzaton of a water tank ncludng a PCM module Manuel Ibáñez a, Lusa F. Cabeza b, *, Crstan Solé b, Joan Roca b, Mquel Nogués

More information

G. Romero (1), J.F. Urchueguía (1), H. Witte (2), W. Cambien (1), T. Magraner (1)

G. Romero (1), J.F. Urchueguía (1), H. Witte (2), W. Cambien (1), T. Magraner (1) Proceedngs World Geothermal Congress 2005 Antalya, Turkey, 24-29 Aprl 2005 Comparatve Study Between a Geothermal Heat Pump System and an Ar-to-Water Heat Pump System for Heatng and Coolng n Typcal Condtons

More information

Potato Marketing Factors Affecting Organic and Conventional Potato Consumption Patterns

Potato Marketing Factors Affecting Organic and Conventional Potato Consumption Patterns 1 Potato Marketng Factors Affectng Organc and Conventonal Potato Consumpton Patterns Yue, C. 1, Grebtus, C. 2, Bruhn, M. 3 and Jensen, H.H. 4 1 Unversty of Mnnesota - Twn Ctes, Departments of Appled Economcs

More information

Response Control Effect of Steel Building Structure Using Tuned Viscous Mass Damper

Response Control Effect of Steel Building Structure Using Tuned Viscous Mass Damper Response Control Effect of Steel Buldng Structure Usng Tuned Vscous Mass Damper Y. Sugmura, W. Goto, H. Tanzawa, K. Sato & T. Nnomya NTT FACILITIES, INC. T. Nagasaku NTT Urban Development Co. K. Sato NTT

More information

Do Farm Programs Explain Mean and Variance of Technical Efficiency? Stochastic Frontier Analysis

Do Farm Programs Explain Mean and Variance of Technical Efficiency? Stochastic Frontier Analysis Do Farm Programs Explan Mean and Varance of Techncal Effcency? Stochastc Fronter Analyss Rahul Ranjan Master Student Dept. of Agrbusness and Appled Economcs NDSU, Fargo, ND 58108-6050 E-mal: rahul.ranjan@ndsu.edu

More information

An Empirical Study about the Marketization Degree of Labor Market from the Perspective of Wage Determination Mechanism

An Empirical Study about the Marketization Degree of Labor Market from the Perspective of Wage Determination Mechanism An Emprcal Study about the Marketzaton Degree of Labor Market from the Perspectve of Wage Determnaton Mechansm Qushuo He Shenzhen Insttute of Informaton Technology, Shenzhen 51809, Chna heqs@szt.com.cn

More information

Varunraj Valsaraj, Kara Kockelman, Jennifer Duthie, and Brenda Zhou University of Texas at Austin. Original Version: September 2007.

Varunraj Valsaraj, Kara Kockelman, Jennifer Duthie, and Brenda Zhou University of Texas at Austin. Original Version: September 2007. FORECASTING EMPLOYMENT & POPULATION IN TEXAS: An Investgaton on TELUM Requrements Assumptons and Results ncludng a Study of Zone Sze Effects for the Austn and Waco Regons Varunraj Valsaraj Kara Kockelman

More information

Numerical Analysis of Comfort and Energy Performance of Radiant Heat Emission Systems

Numerical Analysis of Comfort and Energy Performance of Radiant Heat Emission Systems Numercal Analyss of Comfort and Energy Performance of Radant Heat Emsson Systems Ochs *, Magn, Segele and Janett Unversty of Innsbruck, Unversty of Bologna *Correspondng author: Technkerstr. 3, 600 Innsbruck,

More information

Maximizing the Validity of a Test as a Function of Subtest Lengths for a Fixed Total Testing Time: A Comparison Between Two Methods

Maximizing the Validity of a Test as a Function of Subtest Lengths for a Fixed Total Testing Time: A Comparison Between Two Methods Maxmzng the Valdty of a Test as a Functon of Subtest Lengths for a Fxed Total Testng Tme: A Comparson Between Two Methods Tam Kennet-Cohen, Shmuel Bronner and Yoav Cohen Paper presented at the annual meetng

More information