MICROSTRUCTURAL CHARACTERIZATION OF THIN FILMS AND SURFACES BY A NEW GRAZING INCIDENT X-RAY DIFFRACTOMETER

Size: px
Start display at page:

Download "MICROSTRUCTURAL CHARACTERIZATION OF THIN FILMS AND SURFACES BY A NEW GRAZING INCIDENT X-RAY DIFFRACTOMETER"

Transcription

1 The Rigaku Journal Vol. 17/ No. 2/ 2000 CONTRIBUTED PAPERS MICROSTRUCTURAL CHARACTERIZATION OF THIN FILMS AND SURFACES BY A NEW GRAZING INCIDENT X-RAY DIFFRACTOMETER SHIN-YA MATSUNO*, MASAYUKI KUBA, TAKESHI NAYUKI, SUKETAKA SOGA AND P. W. T. YUEN a) Analytical and Computational Science Laboratories, Asahi Chemical Industry CO. LTD.,2-1, Sameiima, Fuji, , Japan a) Present address: DERA, Winfrith Technology Center, Winfrith, Newburgh, Dorchester, Dorset DT2 8XJ, U. K, 1. Introduction Modern devices require the production and characterization of state-of-the-art-ultra-thin films under 10-nanometers thick. Examples can be found in integrated circuits, magnetic pickup heads and high effi-ciency solid state lasers. In thin films with thickness greater than 10 nanometers, the crystallographic properties (crystalline quality, orientation relationship between films and substrates, etc.) were mainly investigated by rocking curve measurements and reciprocal lattice mapping using a high resolution X-ray diffractometer [1-4]. However, the characterization of ultra thin films with thickness less than 10 nanometers has been a scientific challenge. To analyze crystallographic and physical properties such as film uniformity, interfacial morphology and density of the films etc., X-ray reflectivity at grazing incidence has been a powerful [5, 6]. The heteroepitaxial growth of thin films by sputtering normally grows along the uni-axial crystallographic orientation of the substrate. Conventional X-ray diffraction measurement however only probes lattice planes parallel to the surface with a characteristic single diffraction peak normally obtained from these heteroepitaxial films. Unfortunately, in-plane information is severely lacking by using this technique. Due to the weak nature of signals as obtained from grazing incidence X-ray diffraction, the efficiency of the method for characterization has been a major concern for researchers in this field. There is a desperate need to design, and to develop an efficient high intensity and high resolution structural *Author to whom correspondence should be addressed; matsuno.sb@om.asahi-kasei.co.jp probe that enables one to characterize both the inplane and out-of-planeproperties of thin films. The purpose of this work is to describe the design, the functionality and the performance of a highly efficient high resolution X-ray diffractometer, the ATX-G, for both X-ray reflectivity measurement and in-plane X-ray diffraction analysis. This system is the result of collaborative work with the research team at Rigaku Corp. in Japan. This machine is now being used our daily work in our laboratory at Asahi Chemical for the evaluation of film inhomogeneity and anisotropy. 2. Overview-The Method of Characterization of Thin Films by Grazing Incident X-ray 2-1. Evaluation of Structural Morphology on a Nanometer Scale Using X-ray Reflectivity Measurements X-ray reflectivity measurements have been one of the most powerful structural probes for characterizing morphological properties of thin films on nano-meter scales such as film thickness, density, and interface roughness [5, 6]. The basic principles and the effectiveness of X-ray reflectivity measurements has already been introduced in the Rigaku Journal [7-9]. In short, it is available to understand the depth profile of density in materials using X-ray reflectivity measurements. The effectiveness of X-ray reflectivity for depth profile information of density stems from the momentum transfer of the X-ray in the direction perpendicular to the surface of the sample (see Fig. 1). Synchrotron radiation is usually used for the characterization of ultra thin SiO 2 films of a few nanometers thickness [10]. X-ray reflectivity measure- 36 The Rigaku Journal

2 ments cover a dynamic intensity range of more than six orders of magnitude, and in general they are shown in logarithmic scales. In the sense, synchrotron radiation is useful for evaluation of ultra thin films, which show long periods of oscillations of X-ray reflectivity. A dynamic intensity range varied over high orders of magnitude is advantageous to evaluate the interface roughness, because X-ray reflectivity curves change larger and larger in the high angle region. Analysis of the X-ray diffuse scattering around the specular reflection also gives information about in-plane density-density correlation. Although we can not distinguish the interface roughness from diffusion of each layer by X-ray reflectivity measurements, we Fig. 1. Momentum transfer vector in X-ray reflectivity measurement. can distinguish between the two phenomena by X-ray diffuse scattering measurements, because X-ray diffuse scattering arises from only physical roughness of interfaces. In the case of measurements of diffuse scattering intensity, the angle between incident X-ray and sample surface should be changed while the scintillation counter is held at a fixed position and it is called a 'rocking scan'. Thinking of reciprocal space, momentum transfer vector moves parallel to the sample surface, so in-plane density-density profiles can be evaluated by analyzing rocking scan profile Structural Characterization of Surfaces by Grazing Incidence X-ray Diffraction The grazing incidence X-ray diffraction (GIXD) method utilizing X-ray total external reflection is one of the most useful analytical methods for surface structures. For this method, a very wellcollimated high intensity X-ray beam with precisely control incident and exit angle is needed. Generally, this method can be implemented using the three arrangements as shown in Fig. 2. In Seemann-Bohlin's arrangement where the grazing angle between the incident X-ray and the sample surface is fixed and detector is rocked in the plane perpendicular to the incident beam. In this configuration, the Bragg angle can be shifted as the result of X-ray refraction and causing the direction of diffracting plane to vary as the detector is scanned. Fig. 2. In-plane arrangement of XRD with two kinds of conventional XRD arrangement. Vol. 17 No

3 Fig. 3. Calculated penetration depth and reflectivity for Cu-Kα1 radiation as a function of the incident angle. Fig. 4. X-ray diffration patterns of TiSi2 thin films on Si substrates. These problems, however, rarely occur in the conventional X-ray diffraction experiment. Up until now, the measurement of the properties of films with thickness in the range nm such as Al on GaAs substrates [11], or 30 nm TiSi 2 thin films on Si substrates [12] were done exclusively using grazing incident X-ray diffraction with synchrotron radiation. The sensitivity of these experiments were the result of suppressing the X-ray penetration depth using grazing incident beam. The relation between the angle of the incident X-ray beam to the Si surface and 1/e intensity penetration depth of the X-ray beam with Cu-K α1 radiation is shown in Fig. 3. It is seen from Fig. 3 that the X-ray penetrates only a few nanometer into the Si surface for incident angles below the critical angle of total external reflection. Most of the oriented grown films showing a single diffraction peak in the conventional X-ray diffraction experiment, due to the uni-axial orientations of the films with respect to the substrates. For example, the X-ray diffraction pattern of Ti thin films grown on Si substrates by sputtering and subsequent annealing at 650EC in N 2 gas atmosphere as obtained from the conventional diffraction experiment is shown in Fig. 4. In this case, it is difficult to identify the phase of the thin films, in addition to the complications caused by stress induced shift of the Bragg angles. 3. Conception and Features of a New Diffractometer As mentioned earlier, it is crucially important to characterize the morphology of the films in nanometer scale in addition to determining the structural information on an atomic scale. Additional information, as obtained from in-plane X-ray diffraction measurements are also important for characterization of thin films that are uni-axially oriented. Bearing all these considerations in mind, we have successfully produced an inovative high performance grazing incidence X-ray diffractometer. Distinct features of this new design are as follows: (1) Extremely high intensity X-ray beam (2) Multiple-axis goniometer for performing inplane X-ray diffraction measurements These features are the result of completely designed X-ray optics using a parabolic multilayer mirror with graded d-spacings and an asymmetrically cut Ge(220) channel monochrometer, and a specially designed four-axis goniometer. The apparatus is schematically shown in Fig. 5 consisting of an 18-kW rotating anode X-ray generator, a parabolic multilayer mirror, Ge(220) monochrometer, and a four-axis goniometer [13]. Divergent Cu K X-rays from the rotating anode X-ray generator are first collimated and monochromatized by the graded multilayer mirror to a broad Cu Kα X-ray beam with 0.045E divergence. This Cu Kα X-ray beam is further collimated to a narrow and parallel X-ray beam of 0.015E divergence by the asymmetrical-cut Ge(220) channel monochrometer. The goniometer has two conventional T/22 axes and two in-plane N/22 P axes. As shown in Fig. 6, the N/22 P axes are mounted horizontally on the vertical T 38 The Rigaku Journal

4 Fig. 5. Schematic illustrations of the apparatus. Fig. 6. Schematic illustration of the goniometer. axis. The T/22 axes are used for measurements of outof-plane diffraction, and the N/22 P axes for in-plane diffraction. The specimen stage has two rotation axes, Rx and Ry, for tilting the specimen surface so that the surface normal is properly aligned parallel to the N axis and centered at the intersection of the N and the T axes. Detector is a scintillation counter, and an Al plate with pre-calibrated attenuation factors can be inserted in front of the detector when a very high intensity reflected beam is encountered. 4. Field Tests of the Apparatus on Thin Solid Films 4-1. Evaluation of Thickness and Interface Roughness of Ultra Thin SiO 2 Films on Si Wafers The ability to control and to characterize the thickness and the interface roughness of the ultra-thin SiO 2 gate of 10nm thickness is becoming more routine in the ultra-large-scale integrated (ULSI) devices fabrication process. Fig. 7. X-ray specular reflectivity curves for Sample 1 and 2. In the following section, we would like to demonstrate the use of this newly designed system to determhine the layer thickness, surface and interface roughness of two SiO 2 films grown by the thermal oxidation process on Si wafers. Sample 1 was grown under under N 2 /O 2, and Sample 2 under pure O 2. The GIXR technique was used to determine the layer thickness, surface and interface roughness of the films. The experimental reflectivity curves for Sample 1 and 2 are shown in Fig. 7. Sample preparation condition and obtained results are shown in Table 1. The interference fringes were weak because of the small difference between the electron density between SiO 2 and Si. Reflectivity intensities, which varied over eight orders of magnitude, were collected so that a reliable analysis of the reflectivity data could be obtained. Fourier transform analysis [14] of both reflectivity curves shows a strong peak at a thickness of 6.5 nm (see Fig. 8 for Sample 1). The experimental reflectivity curves were also analyzed by fitting with calculated reflectivity curves derived from Parratt's recursive formula modified Vol. 17 No

5 with the distorted wave Born approximation (DWBA). [15, 16] The modified Parratt's recursive formula is as follows. R R R, + + F, F n n n n n n = a , n 1 n, n n, n a i d R a E n π exp n n, E a n n n, +, λ φ ( 2 2 ) φ θ δ i β n n n 1 2 R n n 0 1 (1) Here, F n-l,n is the Fresnel reflectivity coefficient of the interface between n-l-th layer and n-th layer, dn the thickness of n-th layer, and R n-l,n the reflectivity amplitude of the interface between n-l-th and n-th layer. The Lenvenburg-Marquardt method was used in this study to match the calculated reflectivity curves with the experimental reflectivity data. In this case, analysis of interface roughness was done by the following procedure. First, the surface roughness was determined prior to the least squares fitting analysis, because of its strong effect on the mean reflectivity. This situation is shown in Fig. 9(a). The results for Sample 1 are shown graphically in Fig. 9(b), and the results with respect to layer thickness and roughness for both samples are given in Table 1. The results as shown in Table 1 indicate both Samples 1 and 2 have the same SiO 2 layer thickness of 6.5nm, in good agreement with the results obtained by the Fourier transform method. The results also show that the surface roughness for the both specimens were approximately the same within 0.04nm. The Table 1. Sample preparation conditions and obtained results. Sample 1 Sample 2 Atmosphere N 2 /O 2 -flow O 2 -flow Temperature (EC) Time (min) 8 10 SiO 2 thickness (nm) Surface roughness (nm) Interface roughness (nm) Fig. 8. The result of Fourier transform of the reflectivity curves for Sample 1. Fig. 9. a) The mean reflectivity curves dependence of surface roughnesses b) Experimental reflectivity data (open circles) and a fitteed curve (solid line) for Sample 1 40 The Rigaku Journal

6 interface roughness between the SiO 2 layers and the Si substrate for the two samples are, however, significantly different from each other with 0.1 nm for sample 1 and 0.25 nm for sample 2. The results indicate that the buried interface between the SiO 2 layer and its Si substrate were significantly smoother for the layer grown under N 2 /O 2 than under O 2, 4-2. Density of Porous Silica Thin Films [17, 18, 19] Propagation decay, cross-talk noise and power dissipation due to resistance capacitance (RC) coupling becomes significant in the modern ULSI technology when the device dimension shrinks to less than 0.18 nm (transistor gate length). It has been reported that the dielectric constant (k) of the separating insulator has been identified as the crucial factor for causing all these problems. Recent advancements to cure these problems have replaced standard SiO 2 with new interconnect dielectrics (ILD) possessing k values less than 2.5. One possible candidate is the nano-porous silica like ALCAP -S material made by ASAHI KASEI Corp. in Japan. Research data indicate that the density of these porous materials has paramount effect on the k value and it is, therefor essential to evaluate density of these porous materials during the ULSI fabrication process. By using GIXR such as the system described in this paper, the control and monitoring of the fabrication of small k insulators for ULSI applications becomes a simple and straight forward process. Fig. 10. X-ray reflectivity measurements of organic/ inorganic hybrids before and after curing. The porous silica (ALCAPT -S) thin films that were grown from organic/inorganic hybrids were fabricated on SiN (about 1.2 µm thickness)/si substrate by spin-coating method. One of the specimens was cured at 400EC in an N 2 atmosphere. The GIXR results for these films are shown in Fig. 10. Due to the presence of less dense materials on the surface, two critical angles, one at 2Theta 0.3 deg and the other at 2Theta 0.45deg, can be seen clearly at very small incident angles. The former corresponds to the critical angle of the porous silica, and the latter due to the SiN layer. The former critical angle of cured sample is seen to reduce when comparing with the non-cured sample. This indicates that the density of the cured sample is smaller than that of the non-cured sample. This result also suggests that the nano-porous silica thin films were formed predominantly by decomposing and vaporization of organic materials. This experiment demonstrates yet another way to investigate the properties of the porous thin film nondestructively by using grazing incident X-ray technique Depth Profile Information Using In-Plane X-ray Diffraction Measurements on TiSi 2 Thin Films The film used in this study was deposited from a Ti target onto a Si substrate by sputtering and was subsequently annealed at 650EC for 1 minute under a nitrogen atmosphere. A conventional X-ray diffraction pattern for the film obtained by a ω/2θ (or θ/2θ) scan is shown in Fig. 11(a). A strong and sharp TiSi 2 (150) diffraction peak together with two relatively weaker and broad TiN(111) and TiN(200) peaks were observed. The Ti thin film appeared to be reacted completely with the Si and N 2 forming TiSi 2 and TiN during the hightemperature annealing process, as suggested by the absence of Ti diffraction peaks. The in-plane diffraction technique can be used to identify the surface and the interface layers unambiguously as illustrated in this sample. The in-plane diffraction patterns obtained using incident angles (ω) fixed at 0.20, 0.25 and 0.30 are plotted in Fig. 11(b). For the pattern obtained at ω=0.20e, only two TiN peaks, TiN(111) and TiN(200), were detected. At ω=0.20e, the 1/e penetration depth for a Cu-K α X-ray beam was calculated to be 4 nm. When the incident angle increases, the xray beam penetrates deeper into the film, and TiSi 2 - C49(060) and C49(131) peaks begin to appear when ω is 0.25 and 0.30E (see Fig. 1 1 (b)). This result concludes that the Ti film is transformed into two layers: a TiN layer with an estimated surface thickness of about 10nm at the surface, and a TiSi 2 layer at the interface between the film and the Si substrate. Vol. 17 No

7 Fig. 11. (A) Conventional X-ray diffraction patterns of TiSi 2 thin films. (b) In-plane x-ray diffraction patterns for three kinds of X- ray incidant angle; ω=0.20, 0.25, 0.30 deg. Fig. 12. In-plane X-ray diffraction patterns of the same sample ( ω=0.30 deg). Next, in-plane X-ray diffraction measurements were also performed on the same sample after stripping the TiN surface layer by alkaline aqueous solution. The in-plane XRD result was shown in Fig. 12. The plot shows several distinct diffraction peaks in additions to those observed by the conventional X- ray diffraction measurements. These peaks are identified as the Bragg peaks of TiSi 2 -C49 phase. This experiment demonstrates the importance of obtaining film properties in the plane perpendicular to the sample surface using an in-plane arrangement in addition to information regarding to the plane parallel to the sample surface in a conventional XRD arrangement. In this example, we can easily identify the phases of uni-axial oriented thin films from the distinct diffraction peaks as recorded by the in-plane XRD measurement. It is noted that the devalue as observed by conventional XRD appears to be larger than that registered in ICDD-JCPDS data base, but an opposite was observed by the inplane XRD data! This result clearly shows that the TiSi 2 -C49 layer in question is under compressive strain. Hence, a combined experiment using conventional and in-plane XRD measurements is extremely useful for stress analysis of oriented thin films as described in more details in the next section Stress Analysis and Depth Profiles of Crystalline Quality of Si Thin Films Grown on Sapphire Substrates [20] The procedure for growing epitaxial Si films on Sapphire substrate (SOS) is shown in Fig. 13. Two SOS films were used in this study: Film A & B were prepared with and without substrate cooling, respectively during Si ion implantation (see Fig. 13). Conventional XRD measurements and inplane XRD measurements were performed on both Film A and Film B. The devalue of the parallel plane Si(004) and the perpendicular plane Si(040) were deduced from the conventional and in-plane XRD data, respectively. The diffraction results as obtained from Films A & B are shown in Fig. 14. It is intriguing to note that the Bragg peaks as obtained from both methods are not the same, indicating a significant distortion from the cubic symmetry. By comparing the present data with the bulk Si(004) value from the ICDD (JCPDS) data base, a 0.3% larger and smaller d-spacing for the parallel and perpendicular planes respectively of the SOS films are found. This means that the Si thin films were compressed on the order of 100 Mpa. Next, in-plane X-ray rocking curve (XRC) measurements were done by rotating the specimen about the φ axis, while keeping the detector at a fixed angle 2θ χ recording the Si(040) peak. The in-plane 42 The Rigaku Journal

8 Fig. 13. Preparation procedure for a Si film epitaxially grown on a sapphire substrate. Fig. 14. Si(004) and Si(040) profiles measured by conventional XRD and in-plane XRD, respectively. XRC obtained with an incident angle of ω=0.25e is plotted in Fig. 15(a). It shows that the full width at half maximum (FWHM) for Film A is narrower than that for Film B. This indicates that the epitaxial Si film obtained with substrate cooling had a smaller in-plane Si(040) axis dispersion and, hence, better crystallinity than the film that was grown without substrate cooling. Similar results with smaller FWHMs for Film A and larger FWHMs for Film B were also obtained at ω=0.15, 0.20, 0.25, 0.30 and 0.35E (see Fig. 15(b)). Values of FWHM are found to be more uniform for Film A than for Film B. By making use of the variation of X-ray penetration depth with the incident angle, we can evaluate the quality of the film as function of thickness. As revealed from the experiment, it is discovered that the crystalline quality of the Si thin film B (not cooled) varies from surface to substrate. In contrary, film A (cooled substrate) shows a uniform good quality film from surface to substrate. This is a versatile way to evaluate the depth profile of the crystalline quality of the over growth in nano-meter scale. 5. Summary In order to characterize the surfaces and thin films, precisely and timely, in laboratories, a new inhouse X-ray diffractometer was developed. This system features an extremely high intensity, parallel and monochromatic X-ray beam, which provides over eight orders of magnitude of X-ray reflectivity measurements and in-plane XRD measurements. This is achieved by a high-intensity rotating anode X- ray generator, a parabolic multilayer mirror, and an asymmetric-cut Ge(220) channel monochrometer. The in-plane XRD measurements, which provides structural depth-profile information is met by a new designed goniometer that enables a precise control for both of the incident and exit angles of the X-ray. To demonstrate the efficiency of the new apparatus, XRR measurements of ultra thin SiO 2 layers and in-plane XRD of TiSi 2 thin films were performed. The film thickness and interfacial roughness of SiO 2 layers that were grown under various conditions were deduced from the XRR data taken by this new system. The SiO 2 /Si interface roughness had been determined as 0.1 nm for the specimen grown under N 2 /O 2 (specimen 1) and 0.25 nm for the one grown under O 2 (specimen 2). The depth profiles of TiSi 2 layers on Si substrates as revealed by XRD data suggested that the Ti film transformed into two layers: a TiN layer with an estimated surface thickness of about 10nm at the surface, and a TiSi 2 layer at the interface between the film and the Si substrate. Finally, using the newly developed apparatus, microstructural characterization of silicone thin layers on sapphires were performed using this newly developed X-ray apparatus for profiling information with respect to crystalline quality and stress. In-plane X-ray rocking curve (XRC) measurements were performed on two samples of which one of them Vol. 17 No

9 Fig. 15. In-plane XRD of Si(040) at ω=0.25 deg (a) and FWHMs of Si(040) profiles (b). employed a cooled substrate during Si ion implantation. Results indicated that the crystalline quality of the cooled sample showed a much uniform film with better quality than the one without cooling. The uncooled one also exhibited a high degree of variation in crystalline quality from the surface to the substrate. Acknowledgments We are grateful to the heads of Analytical and Computational Science Laboratories; Mr. Sadao lbe, Dr. Kazuya Neki and Mr. Osamu Mitsui and Mr. Yasuhiro Ueshima for their support and encouragement. Also we would like to thank all the members of our laboratories for their interest to this study. References [1] P. F. Fewster, Semicond. Sci. Technol., 8, 1915 (1993). [2] X. Wang et al., J. of Crystal Growth, 171, 401 (1997). [3] T. Hirai, K. Nagashima, H. Koike, S. Matsuno and Y. Tarui, Jpn. J. of Appl. Phys., 35, 5150 (1996). [4] T. Fukunaka, T. Matsui, and S. -Y Matsuno, J. of Materials Research, 14, 39 (1999). [5] D. K. Bowen and M. Wormington, Adv. X-Ray Anal., 36,171 (1993). [6] T. C. Huang, Adv. X-Ray Anal., 38,139 (1995). [7] K. Sakurai, THE RIGAKU JOURNAL, 26, 8 (1995) (in Japanese). [8]. Kojima and Boquan Li, THE RIGAKU JOURNAL, 16(2), 31 (1999). [9] K. Kago, H. Matsuoka, H. Yamaoka, THE RIGAKU JOURNAL, 30(2), 14 (1999) (in Japanese). [10] N. Awaji, S. Ohkubo, T. Nakanishi, Y. Sugita, K. Takahashi, and S. Komiya, Jpn. J. of Appl. Phys., 35, L67 (1996). [11] W. C. Marra, P. Eisenberger, and A. Y. Cho, J. of Appl. Phys., 50, 6927 (1979). [12] H. Tomita, S. Komiya, Y. Horii, and T. Nakamura, Jpn. J. of Appl. Phys., 34, L876 (1995). [13] S. Matsuno, M. Kuba, K. Omote, M. Sakata, Advances in X-ray Chemical Anal., 30,189 (1999) (in Japanese). [14] K. Sakurai and A. lida, Jpn. J. of Appl. Phys., 31, L113 (1992). [15] G. H. Vineyard, F4iys. Rev., B26, 4146 (1982). [16] K. Sinha, E. B. Sirota, S. Garoff, and H. B. Stanley, Phys. Rev. B, 38, 2297 (1988). [17] H. Hanahata et al., IEEE 200 International Interconnect Technology Conference proceedings, p (June 5-7, 2000). [18] C. Jin et al., MRS BULLETIN, 22, No.10, 39 (1997). [19] T. loka et al., SEMATECH ULTRA LOW K WORKSHOP, 95 (1999). [20] S.-Y. Matsuno et. al., Advances in X-ray Anal., 43 (2000), in press. 44 The Rigaku Journal

Characterization of Surfaces and Thin Films Using a High Performance Grazing Incidence X-ray Diffractometer

Characterization of Surfaces and Thin Films Using a High Performance Grazing Incidence X-ray Diffractometer Copyright(c)JCPDS-International Centre for Diffraction Data 2000,Advances in X-ray Analysis,Vol.43 177 Characterization of Surfaces and Thin Films Using a High Performance Grazing Incidence X-ray Diffractometer

More information

X-RAY DIFFRACTION IN SEMICONDUCTOR INDUSTRY AND RESEARCH

X-RAY DIFFRACTION IN SEMICONDUCTOR INDUSTRY AND RESEARCH X-RAY DIFFRACTION IN SEMICONDUCTOR INDUSTRY AND RESEARCH M. Leszczyński High Pressure Research Center UNIPRESS, Sokolowska 29/37, 01 142 Warsaw, Poland, e-mail: mike@unipress.waw.pl ABSTRACT The paper

More information

This lecture is part of the Basic XRD Course.

This lecture is part of the Basic XRD Course. This lecture is part of the Basic XRD Course. Basic XRD Course 1 A perfect polycrystalline sample should contain a large number of crystallites. Ideally, we should always be able to find a set of crystallites

More information

Fundamentals of X-ray diffraction and scattering

Fundamentals of X-ray diffraction and scattering Fundamentals of X-ray diffraction and scattering Don Savage dsavage@wisc.edu 1231 Engineering Research Building (608) 263-0831 X-ray diffraction and X-ray scattering Involves the elastic scattering of

More information

Thin Film Scattering: Epitaxial Layers

Thin Film Scattering: Epitaxial Layers Thin Film Scattering: Epitaxial Layers 6th Annual SSRL Workshop on Synchrotron X-ray Scattering Techniques in Materials and Environmental Sciences: Theory and Application May 29-31, 2012 Thin films. Epitaxial

More information

Precision Without Compromise

Precision Without Compromise D1 EVOLUTION Precision Without Compromise Versatile and user-friendly high resolution and multipurpose X-ray diffractometer for the characterization of advanced materials www.jvsemi.com D1 Overview Introduction

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

Thin Film Scattering: Epitaxial Layers

Thin Film Scattering: Epitaxial Layers Thin Film Scattering: Epitaxial Layers Arturas Vailionis First Annual SSRL Workshop on Synchrotron X-ray Scattering Techniques in Materials and Environmental Sciences: Theory and Application Tuesday, May

More information

High Resolution X-ray Diffraction

High Resolution X-ray Diffraction High Resolution X-ray Diffraction Nina Heinig with data from Dr. Zhihao Donovan Chen, Panalytical and slides from Colorado State University Outline Watlab s new tool: Panalytical MRD system Techniques:

More information

X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE

X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE The Rigaku Journal Vol. 13/No. 1/ 1996 CONTRIBUTED PAPERS X-RAY DIFFRACTION CHARACTERIZATION OF MULTILAYER EPITAXIAL THIN FILMS DEPOSITED ON (0001) SAPPHIRE THOMAS N. BLANTON AND LIANG-SUN HUNG Imaging

More information

What if your diffractometer aligned itself?

What if your diffractometer aligned itself? Ultima IV Perhaps the greatest challenge facing X-ray diffractometer users today is how to minimize time and effort spent on reconfiguring of the system for different applications. Wade Adams, Ph.D., Director,

More information

IN SITU STRUCTURAL ANALYSIS OF BPDA-PPD POLYIMIDE THIN FILM USING TWO-DIMENSITIONAL GRAZING INCIDENCE X-RAY DIFFRACTION

IN SITU STRUCTURAL ANALYSIS OF BPDA-PPD POLYIMIDE THIN FILM USING TWO-DIMENSITIONAL GRAZING INCIDENCE X-RAY DIFFRACTION IN SITU STRUCTURAL ANALYSIS OF BPDA-PPD POLYIMIDE THIN FILM USING TWO-DIMENSITIONAL GRAZING INCIDENCE X-RAY DIFFRACTION 150 J. Kikuma, 1 T. Nayuki, 1 T. Ishikawa, 1 G. Asano, 2 and S. Matsuno 1 1 Analysis

More information

ATTACHMENTES FOR APD 2000 PRO POWDER X-RAY DIFFRACTOMETER. Monochromators

ATTACHMENTES FOR APD 2000 PRO POWDER X-RAY DIFFRACTOMETER. Monochromators Monochromators Secondary graphite monochromator Johansson Ka 1 monochromator Parabolic monochromator Secondary flat and curved graphite monochromators suitable for Ag, Cr, Fe, Cu, Co and Mo radiations

More information

Grazing Incidence X-Ray Diffraction of Longitudinal and Perpendicular Magnetic Recording Media for HDD

Grazing Incidence X-Ray Diffraction of Longitudinal and Perpendicular Magnetic Recording Media for HDD Grazing Incidence X-Ray Diffraction of Longitudinal and Perpendicular Magnetic Recording Media for HDD Michio OHSAWA, Fuji Electric Corporate Research and Development, Ltd. ohsawa-michio@fujielectric.co.jp

More information

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN A thesis submitted in partial fulfillment of the requirement for the degree of Bachelor of Arts / Science in Physics from The College of

More information

Earth & Planetary Science Applications of X-Ray Diffraction: Advances Available for Research with our New Systems

Earth & Planetary Science Applications of X-Ray Diffraction: Advances Available for Research with our New Systems Earth & Planetary Science Applications of X-Ray Diffraction: Advances Available for Research with our New Systems James R. Connolly Dept. of Earth & Planetary Sciences University of New Mexico 401/501

More information

Copyright JCPDS - International Centre for Diffraction Data 2004, Advances in X-ray Analysis, Volume

Copyright JCPDS - International Centre for Diffraction Data 2004, Advances in X-ray Analysis, Volume Copyright JCPDS - International Centre for Diffraction Data 2004, Advances in X-ray Analysis, Volume 47. 240 SIMULTANEOUS MEASUREMENTS OF X-RAY DIFFRACTION (XRD) AND DIFFERENTIAL SCANNING CALORIMETRY (DSC)

More information

Single crystal X-ray diffraction. Zsolt Kovács

Single crystal X-ray diffraction. Zsolt Kovács Single crystal X-ray diffraction Zsolt Kovács based on the Hungarian version of the Laue lab description which was written by Levente Balogh, Jenő Gubicza and Lehel Zsoldos INTRODUCTION X-ray diffraction

More information

Technical articles Micro-area X-ray diffraction measurement by SmartLab μ

Technical articles Micro-area X-ray diffraction measurement by SmartLab μ Technical articles Micro-area X-ray diffraction measurement by SmartLab μhr diffractometer system with ultra-high brilliance microfocus X-ray optics and two-dimensional detector HyPix-3000 Yuji Shiramata*

More information

CURVATURE MEASUREMENTS OF STRESSED SURFACE-ACOUSTIC- WAVE FILTERS USING BRAGG ANGLE CONTOUR MAPPING

CURVATURE MEASUREMENTS OF STRESSED SURFACE-ACOUSTIC- WAVE FILTERS USING BRAGG ANGLE CONTOUR MAPPING 86 CURVATURE MEASUREMENTS OF STRESSED SURFACE-ACOUSTIC- WAVE FILTERS USING BRAGG ANGLE CONTOUR MAPPING ABSTRACT Paul M. Adams The Aerospace Corporation Los Angeles, CA 90009 Surface-acoustic-wave (SAW)

More information

ATTACHMENTES FOR EXPLORER DIFFRACTOMETER. Monochromators

ATTACHMENTES FOR EXPLORER DIFFRACTOMETER. Monochromators Monochromators Secondary flat and curved graphite monochromators suitable for Ag, Cr, Fe, Cu, Co and Mo radiations This attachment is installed in the X-ray detection unit. It is designed to remove continuous

More information

Instrument Configuration for Powder Diffraction

Instrument Configuration for Powder Diffraction Instrument Configuration for Powder Diffraction Advanced X-ray Workshop S.N. Bose National Centre for Basic Sciences, 14-15/12/2011 Innovation with Integrity Overview What is the application? What are

More information

A. KISHI AND H. TORAYA

A. KISHI AND H. TORAYA THE RIGAKU JOURNAL VOL. 21 / NO. 1 / 2004, 25 30 SIMULTANEOUS MEASUREMENTS OF X-RAY DIFFRACTION (XRD) AND DIFFERENTIAL SCANNING CALORIMETRY (DSC) DATA UNDER CONTROLLED HUMIDITY CONDITION: INSTRUMENTATION

More information

X-Ray Diffraction. Nicola Pinna

X-Ray Diffraction. Nicola Pinna X-Ray Diffraction Nicola Pinna Department of Chemistry, CICECO, University of Aveiro, 3810-193 Aveiro, Portugal. School of Chemical and Biological Engineering, College of Engineering, Seoul National University

More information

Pulsed Laser Deposition of Epitaxial Titanium Nitride on Magnesium Oxide substrate

Pulsed Laser Deposition of Epitaxial Titanium Nitride on Magnesium Oxide substrate Pulsed Laser Deposition of Epitaxial Titanium Nitride on Magnesium Oxide substrate By, Preetam ANBUKARASU UTRIP 2012 (1 st Crew) Under the Guidance of, Prof. Tetsuya HASEGAWA, Solid State Chemistry Lab,

More information

Preparation and characterization of Co BaTiO 3 nano-composite films by the pulsed laser deposition

Preparation and characterization of Co BaTiO 3 nano-composite films by the pulsed laser deposition Journal of Crystal Growth 289 (26) 48 413 www.elsevier.com/locate/jcrysgro Preparation and characterization of Co BaTiO 3 nano-composite films by the pulsed laser deposition Wu Weidong a,b,, He Yingjie

More information

FePd (216 Å) grown on (001) MgO. 2θ(deg)

FePd (216 Å) grown on (001) MgO. 2θ(deg) Major Findings 1. FePd thin films The structural characterization of the films grown at various substrate temperatures (RT- 700 o C) was performed ex-situ using X-Ray Diffraction (XRD). The optimum substrate

More information

In Situ X-ray Fluorescence Measurements During Atomic Layer Deposition: Nucleation and

In Situ X-ray Fluorescence Measurements During Atomic Layer Deposition: Nucleation and In Situ X-ray Fluorescence Measurements During Atomic Layer Deposition: Nucleation and Growth of TiO 2 on Planar Substrates and in Nanoporous Films 1 and In-Situ Synchrotron X-Ray Scattering Study of Thin

More information

Identification of Crystal Structure and Lattice Parameter. for Metal Powders Using X-ray Diffraction. Eman Mousa Alhajji

Identification of Crystal Structure and Lattice Parameter. for Metal Powders Using X-ray Diffraction. Eman Mousa Alhajji Identification of Crystal Structure and Lattice Parameter for Metal Powders Using X-ray Diffraction Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering MSE

More information

F. J. Cadieu*, I. Vander, Y. Rong, and R. W. Zuneska, Physics Department, Queens College of CUNY, Flushing, NY

F. J. Cadieu*, I. Vander, Y. Rong, and R. W. Zuneska, Physics Department, Queens College of CUNY, Flushing, NY Copyright JCPDS-International Centre for Diffraction Data 2012 ISSN 1097-0002 1 X-Ray Measurements of Nanometer Thick Ta x O 1-x and Hf x O 1-x Films on Silicon Substrates for Thickness and Composition

More information

Deposition and characterization of sputtered ZnO films

Deposition and characterization of sputtered ZnO films Superlattices and Microstructures 42 (2007) 89 93 www.elsevier.com/locate/superlattices Deposition and characterization of sputtered ZnO films W.L. Dang, Y.Q. Fu, J.K. Luo, A.J. Flewitt, W.I. Milne Electrical

More information

Stress Mitigation of X-ray Beamline Monochromators using a Topography Test Unit

Stress Mitigation of X-ray Beamline Monochromators using a Topography Test Unit 128 Stress Mitigation of X-ray Beamline Monochromators using a Topography Test Unit J. Maj 1, G. Waldschmidt 1 and A. Macrander 1, I. Koshelev 2, R. Huang 2, L. Maj 3, A. Maj 4 1 Argonne National Laboratory,

More information

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer Analysis of Oxide Thickness Measurement Techniques of SiO2: Nanometrics Nanospec Reflectometer and Color Chart Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering

More information

X-RAY DIFFRACTION CHARACTERIZATION OF MOVPE ZnSe FILMS DEPOSITED ON (100) GaAs USING CONVENTIONAL AND HIGH- RESOLUTION DIFFRACTOMETERS

X-RAY DIFFRACTION CHARACTERIZATION OF MOVPE ZnSe FILMS DEPOSITED ON (100) GaAs USING CONVENTIONAL AND HIGH- RESOLUTION DIFFRACTOMETERS 77 X-RAY DIFFRACTION CHARACTERIZATION OF MOVPE ZnSe FILMS DEPOSITED ON (100) GaAs USING CONVENTIONAL AND HIGH- RESOLUTION DIFFRACTOMETERS T.N. Blanton 1), C.L. Barnes 1), M. Holland 1), K.B. Kahen 1),

More information

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films

Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films Journal of Applied Chemical Research, 9, 2, 73-79 (2015) Journal of Applied Chemical Research www.jacr.kiau.ac.ir Formation of Cupric Oxide Films on Quartz Substrates by Annealing the Copper Films Abstract

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003 Fabrication and Electrical Properties of Pure Phase Films B. G. Chae, D. H. Youn, H. T. Kim, S. Maeng, and K. Y. Kang Basic Research Laboratory, ETRI, Daejeon 305-350, Republic of Korea arxiv:cond-mat/0311616v2

More information

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays Hamid Alouach and G. J. Mankey Introduction Objective: Approach: Characterization: Fabrication of nanoscale magnetic wires for spin transport

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

M. Hasumi, J. Takenezawa, Y. Kanda, T. Nagao and T. Sameshima

M. Hasumi, J. Takenezawa, Y. Kanda, T. Nagao and T. Sameshima Proceedings of 6th Thin Film Materials & Devices Meeting November 2-3, 2009, Kyoto, Japan http://www.tfmd.jp/ Characterization of SiO x /Si Interface Properties by Photo Induced Carrier Microwave Absorption

More information

Pyrite Form of Group-14 Element Pernitrides Synthesized at High Pressure and High Temperature

Pyrite Form of Group-14 Element Pernitrides Synthesized at High Pressure and High Temperature Electronic Supplementary Material (ESI) for Dalton Transactions. This journal is The Royal Society of Chemistry 2017 Supporting information figures Pyrite Form of Group-14 Element Pernitrides Synthesized

More information

High Resolution X-Ray Diffraction Applied to Strain Relaxation of Lattice Mismatched Semiconductor Films

High Resolution X-Ray Diffraction Applied to Strain Relaxation of Lattice Mismatched Semiconductor Films 1 High Resolution X-Ray Diffraction Applied to Strain Relaxation of Lattice Mismatched Semiconductor Films P.M. Mooney and J.L. Jordan-Sweet IBM T.J. Watson Research Center POBox218 Yorktown Heights, NY

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Philips Analytical, Lelyweg 1, 7602 EA Almelo, The Netherlands

Philips Analytical, Lelyweg 1, 7602 EA Almelo, The Netherlands Copyright(c)JCPDS-International Centre for Diffraction Data 2001,Advances in X-ray Analysis,Vol.44 284 MICRO-DIFFRACTION WITH MONO-CAPILLARIES M.J. Fransen, J.H.A. Vasterink and J. te Nijenhuis Philips

More information

Interface quality and thermal stability of laser-deposited metal MgO multilayers

Interface quality and thermal stability of laser-deposited metal MgO multilayers Interface quality and thermal stability of laser-deposited metal MgO multilayers Christian Fuhse, Hans-Ulrich Krebs, Satish Vitta, and Göran A. Johansson Metal MgO multilayers metal of Fe, Ni 80 Nb 20,

More information

X-Ray Diffraction by Macromolecules

X-Ray Diffraction by Macromolecules N. Kasai M. Kakudo X-Ray Diffraction by Macromolecules With 351 Figures and 56 Tables Kodansha ~Springer ... Contents Preface v Part I Fundamental 1. Essential Properties of X-Rays................. 3 1.1

More information

Background Statement for SEMI Draft Document 5945 New Standard: Test Method for Determining Orientation of A Sapphire Single Crystal

Background Statement for SEMI Draft Document 5945 New Standard: Test Method for Determining Orientation of A Sapphire Single Crystal Background Statement for SEMI Draft Document 5945 New Standard: Test Method for Determining Orientation of A Sapphire Single Crystal Notice: This background statement is not part of the balloted item.

More information

Introduction to XRD analysis of modern functional thin films using a 2-dimensional detector (2) Analysis of epitaxial films

Introduction to XRD analysis of modern functional thin films using a 2-dimensional detector (2) Analysis of epitaxial films Technical articles Introduction to XRD analysis of modern functional thin films using a 2-dimensional detector (2) Analysis of epitaxial films Katsuhiko Inaba* 1. Introduction Epitaxial thin films are

More information

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE Chalcogenide Letters Vol. 6, No. 8, September 29, p. 415 419 GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE V. B. SANAP *, B. H. PAWAR, * MSS s College

More information

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 28, Montreal, Canada Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon

More information

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers

Growth and characterization of tensile strained Ge on Ge 1-x Sn x buffers for novel channel layers The 5th International Symposium on Advanced Science and Technology of Silicon Materials (JSPS Si Symposium), Nov. 10-14, 2008, Kona, Hawaii, USA Growth and characterization of tensile strained Ge on Ge

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:.38/nphoton..7 Supplementary Information On-chip optical isolation in monolithically integrated nonreciprocal optical resonators Lei Bi *, Juejun Hu, Peng Jiang, Dong Hun

More information

X ray diffraction in materials science

X ray diffraction in materials science X ray diffraction in materials science Goals: Use XRD spectra to determine the orientation of single crystals and preferred orientations in a thin film. Understand how grain size and strain affect the

More information

More Thin Film X-ray Scattering and X-ray Reflectivity

More Thin Film X-ray Scattering and X-ray Reflectivity Stanford Synchrotron Radiation Laboratory More Thin Film X-ray Scattering and X-ray Reflectivity Mike Toney, SSRL 1. Introduction (real space reciprocal space) 2. Polycrystalline film (no texture) RuPt

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

scattering study of phase separation at initially mixed HfO 2 -SiO

scattering study of phase separation at initially mixed HfO 2 -SiO ERC TeleSeminar In situ low-angle x-ray x scattering study of phase separation at initially mixed HfO -SiO thin film interfaces Paul C. McIntyre Jeong-hee Ha Department of Materials Science and Engineering,

More information

CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition

CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition Hyperfine Interact (2006) 168:1065 1071 DOI 10.1007/s10751-006-9406-2 CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition K. Nomura & K. Inaba & S. Iio & T. Hitosugi

More information

Certificate. Standard Reference Material Standard Sapphire Single Crystal Wafer for Crystalline Orientation

Certificate. Standard Reference Material Standard Sapphire Single Crystal Wafer for Crystalline Orientation National Institute of Standards & Technology Certificate Standard Reference Material 1995 Standard Sapphire Single Crystal Wafer for Crystalline Orientation This Standard Reference Material (SRM) is intended

More information

X-Ray Study of Soft and Hard Magnetic Thin Films

X-Ray Study of Soft and Hard Magnetic Thin Films Copyright (C) JCPDS-International Centre for Diffraction Data 1999 13 X-Ray Study of Soft and Hard Magnetic Thin Films Po-Wen Wang, 390 Reed St., Stormedia, Inc., Santa Clara CA. 95050 Abstract : This

More information

Towards the Epitaxial Growth of Silver on Germanium by Galvanic Displacement

Towards the Epitaxial Growth of Silver on Germanium by Galvanic Displacement Electronic Supplementary Material (ESI) for CrystEngComm. This journal is The Royal Society of Chemistry 2014 Towards the Epitaxial Growth of Silver on Germanium by Galvanic Displacement Sayed Youssef

More information

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward Bruker AXS D8 FABLINE X-Ray Metrology Solutions think forward XRD & µxrf D8 FABLINE Metrology for Semiconductor Manufacturing The functional units of semiconductor and compound semiconductor devices shrink

More information

Introduction to Powder Diffraction/Practical Data Collection

Introduction to Powder Diffraction/Practical Data Collection Durham University Chemistry Department Introduction to Powder Diffraction/Practical Data Collection Dr Ivana Evans Durham, January 2007 Durham Outline Information in a powder pattern What is diffraction

More information

Di rect beam J' / o 20, " - l To tally reftected. 20, X Scan / "-

Di rect beam J' / o 20,  - l To tally reftected. 20, X Scan / - THE RIGAKU JOURNAL VOl. 8 / NO. 1 / 1991 Technical Note THIN FILM X-RAY DIFFRACTOMETRY H. ARAKI Rigaku Corporation. Tokvo. Japan 1. Introduction X-ray diffraction methods have been very popular in recent

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Diffraction Basics. The qualitative basics:

Diffraction Basics. The qualitative basics: The qualitative basics: Diffraction Basics Coherent scattering around atomic scattering centers occurs when x-rays interact with material In materials with a crystalline structure, x-rays scattered in

More information

Growth of YBa 2 Cu 3 O 7 Films with [110] Tilt of CuO Planes to Surface on SrTiO 3 Crystals

Growth of YBa 2 Cu 3 O 7 Films with [110] Tilt of CuO Planes to Surface on SrTiO 3 Crystals ISSN 163-7745, Crystallography Reports, 213, Vol. 58, No. 3, pp. 488 492. Pleiades Publishing, Inc., 213. Original Russian Text E.A. Stepantsov, F. Lombardi, D. Winkler, 213, published in Kristallografiya,

More information

NEBRASKA NANOSCALE FACILITY CHARACTERIZATION FACILITIES

NEBRASKA NANOSCALE FACILITY CHARACTERIZATION FACILITIES NEBRASKA NANOSCALE FACILITY CHARACTERIZATION FACILITIES Jeff Shield Department of Mechanical & Materials Engineering Nebraska Center for Materials and Nanoscience National Nanotechnology Coordinated Infrastructure

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

X-RAY DIFFRACTION ANALYSIS OF Si/SiGe RESONANT TUNNELING STRUCTURES 1. INTRODUCTION

X-RAY DIFFRACTION ANALYSIS OF Si/SiGe RESONANT TUNNELING STRUCTURES 1. INTRODUCTION PK ISSN 0022-2941; CODEN JNSMAC Vol. 48, No.1 & 2 (April & October 2008) PP 81-86 X-RAY DIFFRACTION ANALYSIS OF Si/SiGe RESONANT TUNNELING STRUCTURES A. R. KHAN 1 *, M. MEDUŇA 1, G. BAUER 1, C. FALUB 2

More information

Smithsonian Museum Conservation Institute

Smithsonian Museum Conservation Institute Smithsonian Museum Conservation Institute XRD Analysis of the Corrosion Products from a Tlingit Copper Rattle MCI#6241 Object: Tlingit Stikine Rattle Owner/Custodian: National Museum of the American Indian

More information

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA HIPIMS Arc-Free Reactive Sputtering of Non-conductive Films Using the ENDURA 200 mm Cluster Tool: Direct Comparison Between Pulsed DC Pinnacle Plus and HIPIMS Cyprium Roman Chistyakov and Bassam Abraham

More information

Lesson 1 Good Diffraction Data

Lesson 1 Good Diffraction Data Lesson 1 Good Diffraction Data Nicola Döbelin RMS Foundation, Bettlach, Switzerland Digital Diffractometers Transmission Geometry Debye-Scherrer Geometry Reflective Geometry Bragg-Brentano Geometry Glass

More information

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD *

COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * COMPARISON OF TEXTURE IN COPPER AND ALUMINUM THIN FILMS DETERMINED BY XRD AND EBSD * 201 J. Müller 1, D. Balzar 1,2, R.H. Geiss 1, D.T. Read 1, and R.R. Keller 1 1 Materials Reliability Division, National

More information

Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers

Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers Materials Science-Poland, Vol. 25, No. 2, 2007 Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers W. KNOFF *, P. DZIAWA, V. OSINNIY, B. TALIASHVILI, V. DOMUCHOWSKI, E. ŁUSAKOWSKA, K. ŚWIĄTEK,

More information

Strain. Two types of stresses: Usually:

Strain. Two types of stresses: Usually: Stress and Texture Strain Two types of stresses: microstresses vary from one grain to another on a microscopic scale. macrostresses stress is uniform over large distances. Usually: macrostrain is uniform

More information

Materials Lab 1(MT344) X-ray Diffractometer Operation and Data Analysis. Instructor: Dr. Xueyan Wu ( 吴雪艳 )

Materials Lab 1(MT344) X-ray Diffractometer Operation and Data Analysis. Instructor: Dr. Xueyan Wu ( 吴雪艳 ) Materials Lab 1(MT344) X-ray Diffractometer Operation and Data Analysis Instructor: Dr. Xueyan Wu ( 吴雪艳 ) Goals To give students a practical introduction into the use of X-ray diffractometer and data collection.

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

MICROSTRUCTURAL CHARACTERIZATION OF NANOCRYSTALLINE POWDERS AND THIN FILMS BY X-RAY POWDER DIFFRACTION

MICROSTRUCTURAL CHARACTERIZATION OF NANOCRYSTALLINE POWDERS AND THIN FILMS BY X-RAY POWDER DIFFRACTION MICROSTRUCTURAL CHARACTERIZATION OF NANOCRYSTALLINE POWDERS AND THIN FILMS BY X-RAY POWDER DIFFRACTION Zdeněk MATĚJ a, Lea NICHTOVÁ a, Radomír KUŽEL a a Faculty of Mathematics and Physics, Charles University

More information

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source GABLECH Imrich 1,*, SVATOŠ Vojtěch 1,, PRÁŠEK Jan 1,, HUBÁLEK Jaromír

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Reflection high-energy electron diffraction experimental analysis of polycrystalline MgO films with grain size and orientation distributions

Reflection high-energy electron diffraction experimental analysis of polycrystalline MgO films with grain size and orientation distributions JOURNAL OF APPLIED PHYSICS VOLUME 93, NUMBER 1 1 JANUARY 2003 Reflection high-energy electron diffraction experimental analysis of polycrystalline MgO films with grain size and orientation distributions

More information

Fundamentals of Crystalline State p. 1 Introduction p. 1 Crystalline state p. 2 Crystal lattice and crystal structure p. 4 Shape of the unit cell p.

Fundamentals of Crystalline State p. 1 Introduction p. 1 Crystalline state p. 2 Crystal lattice and crystal structure p. 4 Shape of the unit cell p. Preface p. xvii Fundamentals of Crystalline State p. 1 Introduction p. 1 Crystalline state p. 2 Crystal lattice and crystal structure p. 4 Shape of the unit cell p. 6 Content of the unit cell p. 7 Asymmetric

More information

RESIDUAL STRESS DISTRIBUTION IN GRAIN-ORIENTED SILICON STEEL

RESIDUAL STRESS DISTRIBUTION IN GRAIN-ORIENTED SILICON STEEL Copyright JCPDS - International Centre for Diffraction Data 2004, Advances in X-ray Analysis, Volume 47. 402 RESIDUAL STRESS DISTRIBUTION IN GRAIN-ORIENTED SILICON STEEL Muneyuki Imafuku, Tamaki Suzuki

More information

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE W.L. Sarney 1, L. Salamanca-Riba 1, V. Ramachandran 2, R.M Feenstra 2, D.W. Greve 3 1 Dept. of Materials & Nuclear Engineering,

More information

X-Ray Diffraction Analysis

X-Ray Diffraction Analysis 162402 Instrumental Methods of Analysis Unit III X-Ray Diffraction Analysis Dr. M. Subramanian Associate Professor Department of Chemical Engineering Sri Sivasubramaniya Nadar College of Engineering Kalavakkam

More information

Thermo Scientific ARL EQUINOX X-ray Diffractometers

Thermo Scientific ARL EQUINOX X-ray Diffractometers Thermo Scientific ARL EQUINOX 1000 X-ray Diffractometers High performance in a compact size Thermo Scientific ARL EQUINOX 1000 X-ray diffractometer (XRD) is designed to meet structural and phase analysis

More information

THE ANALYSIS OF STRESS DISTRIBUTION BASED ON MEASUREMENTS USING TWO METHODS OF X-RAY APPLICATION

THE ANALYSIS OF STRESS DISTRIBUTION BASED ON MEASUREMENTS USING TWO METHODS OF X-RAY APPLICATION 182 THE ANALYSIS OF STRESS DISTRIBUTION BASED ON MEASUREMENTS USING TWO METHODS OF X-RAY APPLICATION ABSTRACT Barbara Kucharska Institute of Materials Engineering, Czestochowa University of Technology,

More information

HIGH-RESOLUTION PARALLEL-BEAM POWDER DIFFRACTION MEASUREMENT OF SUB-SURFACE DAMAGE IN ALUMINA-SILICON CARBIDE NANOCOMPOSITE

HIGH-RESOLUTION PARALLEL-BEAM POWDER DIFFRACTION MEASUREMENT OF SUB-SURFACE DAMAGE IN ALUMINA-SILICON CARBIDE NANOCOMPOSITE 169 HIGH-RESOLUTION PARALLEL-BEAM POWDER DIFFRACTION MEASUREMENT OF SUB-SURFACE DAMAGE IN ALUMINA-SILICON CARBIDE NANOCOMPOSITE B K Tanner, H Z Wu + and S G Roberts * Department of Physics, University

More information

Bragg diffraction using a 100ps 17.5 kev x-ray backlighter and the Bragg Diffraction Imager

Bragg diffraction using a 100ps 17.5 kev x-ray backlighter and the Bragg Diffraction Imager LLNL-CONF-436071 Bragg diffraction using a 100ps 17.5 kev x-ray backlighter and the Bragg Diffraction Imager B. R. Maddox, H. Park, J. Hawreliak, A. Comley, A. Elsholz, R. Van Maren, B. A. Remington, J.

More information

Experiment 2b X-Ray Diffraction* Optical Diffraction Experiments

Experiment 2b X-Ray Diffraction* Optical Diffraction Experiments * Experiment 2b X-Ray Diffraction* Adapted from Teaching General Chemistry: A Materials Science Companion by A. B. Ellis et al.: ACS, Washington, DC (1993). Introduction Inorganic chemists, physicists,

More information

Electron Microscopy. Dynamical scattering

Electron Microscopy. Dynamical scattering Electron Microscopy 4. TEM Basics: interactions, basic modes, sample preparation, Diffraction: elastic scattering theory, reciprocal space, diffraction pattern, Laue zones Diffraction phenomena Image formation:

More information

Thin-Film Transistor Fabricated on the SrTiO 3 Epitaxial Film Annealed in an Oxygen Atmosphere

Thin-Film Transistor Fabricated on the SrTiO 3 Epitaxial Film Annealed in an Oxygen Atmosphere Proceedings of 6th Thin Film Materials & Devices Meeting November 2-3, 2009, Kyoto, Japan http://www.tfmd.jp/ Thin-Film Transistor Fabricated on the SrTiO 3 Epitaxial Film Annealed in an Oxygen Atmosphere

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

E. Buffagni, C. Ferrari, L. Zanotti, A. Zappettini

E. Buffagni, C. Ferrari, L. Zanotti, A. Zappettini E. Buffagni, C. Ferrari, L. Zanotti, A. Zappettini IMEM-CNR Institute, Parma (Italy) 1. Laue lenses for hard x-ray astronomy 2. Mosaic crystals 3. GaAs crystals 4. X-ray diffraction characterization 5.

More information

Multilayer optics for X-ray analysis

Multilayer optics for X-ray analysis Multilayer optics for X-ray analysis Kazuaki Shimizu* and Kazuhiko Omote** 1. Introduction 1.1. History of the development of multilayers Since W. von Laue discovered X-ray diffraction using a zinc sulfide

More information

Thermo Scientific ARL EQUINOX 100. X-ray Diffractometers

Thermo Scientific ARL EQUINOX 100. X-ray Diffractometers Thermo Scientific ARL EQUINOX 100 X-ray Diffractometers High performance in a compact size Thermo Scientific ARL EQUINOX 100 X-ray diffractometer (XRD) is designed to meet structural and phase analysis

More information

Structures of AlN/VN superlattices with different AlN layer thicknesses

Structures of AlN/VN superlattices with different AlN layer thicknesses Structures of AlN/VN superlattices with different AlN layer thicknesses Quan Li a) Department of Physics, The Chinese University of Hong Kong, Shatin, New Territory, Hong Kong I.W. Kim, S.A. Barnett, and

More information

Physics 6180: Graduate Physics Laboratory. Experiment CM5: X-ray diffraction and crystal structures

Physics 6180: Graduate Physics Laboratory. Experiment CM5: X-ray diffraction and crystal structures Physics 6180: Graduate Physics Laboratory Experiment CM5: X-ray diffraction and crystal structures References: Preston and Dietz, Expt. 10 pp. 180-197 Eisberg and Resnick, Quantum Physics, Sec. 9 Kittel,

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information