Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities. Budge Johl

Size: px
Start display at page:

Download "Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities. Budge Johl"

Transcription

1 Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities Budge Johl th Annual Levitronix CMPUG Symposium

2 Particle Analyzers Particle size analysis can play a major role in slurry troubleshooting, filtration and manufacturing optimization and wafer defect reduction. Particle size analysis can also play an integral part in evaluation of various parts and slurry distribution components. Pumps, valves, distribution systems and slurry piping designs are only a couple of examples of where particle analyzers can be beneficial in the evaluation of various components of fab systems.

3 Mean Particle Analysis Dynamic Light Scattering (DLS) also known as Photon Correlation Spectroscopy (PCS) 117µ (Animation Courtesy of Agilent Technologies, Particle Sizing Systems Division)

4 Large Particle Analysis has shown to be more beneficial in slurry troubleshooting and evaluations than analyzers that only give mean results Common Large Particle Tool Detector Large Particle Counters (Animation Courtesy of Agilent Technologies, Particle Sizing Systems Division)

5 Slurry Trouble Shooting Methods Large Particle Analysis One of the Most Important Analyses Typical Problem Encountered Associated Cause of Problem Troubleshooting Method Wafer Defects (i.e. scratching) Large Particles in Slurry Particle Counting Tools SEM Analysis EDX (Elemental Signature) Change in Polishing Performance Change in Mean Particle Size Distribution or other parameter Mean Particle Analyzer % Solids Measurement Density ph Conductivity % Assay Viscosity Zeta Potential Short or Reduced Filter Lifetime Gel Content of Slurry Delta p measurement SEM analysis of used filter ESEM analysis of slurry Large Particle Counters 30N50

6 Typical CMP Issues Typical field CMP Issues Encountered: High defects/scratches on wafer Change in polishing performance (RR, WIWNU) Shorter filter lifetime or reduction in lifetime Associated causes: Poor slurry handling methods (i.e. lack of humidified nitrogen blankets), which may lead to increased LPC s and gels Lack of slurry distribution PM s Filtration not optimized Slurry particle size analysis plays an instrumental role in troubleshooting these issues

7 Terminology of Particles Observed with SEM, ESEM & Light Microscope Particle: single solid sphere or other geometry Aggregate: multiple particles chemically attached to each other (chain) Agglomerate : particles and aggregates come together into closepacked clumps Micro gels: aggregates link together and form three dimensional network with water trapped within (Filter Plugging Problems) Particles Aggregate Agglomerate Microgel Ref.: R. Iler, Chapter One, The Chemistry of Silica, John Wiley & Sons, 1979

8 Oxide Defects: Large Particles in Slurry May Create Micro- Scratches & Embedded Particles on Wafer Typical defects in oxide CMP Ref.:C. Dennison, KLA-Tencor, Micro, February 1998

9 Possible Sources of Large Particle Issues Agglomerations

10 Gels: Another Source of Large Particle Issues Membrane with Wet Gel & Particles Same Membrane with Dry Gel & Particles Wet Gels Dry Gels Large Particles Silica slurry was diluted with ph adjusted water, then filtered through a 3 micron Isopore membrane.

11 Large Particles & Cu-CMP Defects Optical image (Compass) SEM image (SEMVision) Large Chatter Marks Gouge Micro Scratch

12 Large Particles & Cu-CMP Defects Large Scratch

13 Possible Sources of Large Particle Issues Slurry shear (i.e. certain valves, pumps, piping design) Lack of humidification (wet N 2 blankets) Temperature issues during shipping or storage Settling Improper mixing of incoming slurry containers (pails, drums, totes) Large # of unnecessary turnovers ph shock during dilution Poor cleanliness of various system components (i.e. dip tubes, bung lids, filter housings systems, etc.) Agglomerations

14 Possible Sources of Large Particle Issues Lack of humidified nitrogen blankets Control + Humidified N 2 - Humidified N 2 Field Example: Fab unaware that system was off.

15 Settling Improper mixing Possible Sources of Large Particle Issues

16 Possible Sources of Large Particle Issues Foreign particles (e.g. drum stirrers not properly adjusted can scrape the side of the drums and introduce large plastic particles)

17 Possible Sources of Large Particle Issues Local drying in shipping container, tank, and fittings Field Example: Drum was left open to atmosphere A lot of dry slurry particles all over the top of the drum and the manual pump.

18 Possible Sources of Large Particle Issues Local drying of tote dip tube and lack of PM s. Source of particle introduction

19 Filter Housing Cleanliness Another source of large particles that may be introduced during filter change out

20 Large Particle Counters Play Integral Part in Pump and New Technology Evaluations

21 Large Particle Size Plays Role in Pump Selection Technology has come a long way since the below graph published back in July , 2 Vacuum-Pressure Technology Diaphragm Pump Technology Bellows Pump Technology

22 Correlating LPC s to Defectivity and RR Large Particle Counters Play a Critical Role in Evaluating Alternative Technologies Cumulative Counts > Diameter Large Particle Size Response of Oxide Slurry (MagLev Pump) T0hrs T336hrs (1700 TO) Particle Diameter (microns) Normalized Values Defectivity and RR Response Oxide Slurry STANDARD (Time 0) MagLev (Time 336 Hour) = 1,700 Turnovers Defect Counts RR Wafer Results

23 LPC s Key for Valve Technology Evaluations OLD TECHNOLOGY Tongue & Groove caused areas for Slurry to cake-up and cause premature failure and leak-by NEW! TECHNOLOGY Enhanced Flow Characteristics No Areas for Entrapment or Agglomeration Slide Courtesy of Parker Hannifin Corp.

24 Large Particle Analysis Plays Critical Role in Filter Ratings Filter Ratings Beta Ratio β = Nu / Nd where Nu is the number of particles / ml from the unfiltered upstream Nd is the number of particles / ml from the filtered downstream LRV (Log Reduction Value) LRV = Log ([Feed] / [Filtrate] in particles/ml Percent Retention or Retention Efficiency % Retention = (1 (Filtrate/Feed)*100 or if know beta ratio is same as (1 1/β)*100 Efficiency can be derived from a known Beta ratio Ex = (βx 1) / βx * 100 where Ex is the efficiency for a given particle size Note: All these methods rely on large particle analysis

25 Large Particle Analysis is Critical for Creating Retention Curves Filter Retention Curves Play an Integral Role in Filter Selection 100 CMP1 Retention Efficiency Curves for Planargard CMP Filters 80 CMP3 Percent Retention CMP5 CMP7 CMP9 CM11 CM13 CM Particle Size (microns) 100% 90% PureFlo CMP Capsule Filter Retention 1 um 3 um 5 um 10 um Retention 80% 70% 60% 50% (Retention Curves Courtesy of Entegris and ZenPure) 40% Particle Size (um)

26 Large Particle Role in Filter Optimization Blue Unfiltered Sample Red Single Pass Global Loop Filtered Black Multiple Pass Global Loop Filtered

27 Defectivity Improvement Normalized Defect Data Respect to Day 0 ( >0.1 μm) Dynamic 3 µms Global Loop Filtered Unfiltered (Static) Point-of-Use 1.5 µms Filtered (Static) Time (Hours) Defectivity Correlates with Large Particle Analysis Note: However, this is not always the case. It is possible to have large soft particles that do not increase wafer defects.

28 Limitations and Future Needs

29 Some Limitations There are many particle counters available on the market today (i.e. disc centrifuge, light scattering, light extinction, acoustic spectroscopy and CHDF technologies) Some counters are not as user-friendly and there is a lot of art involved in getting good repeatable data. Data can vary greatly from operator to operator. Critical to have good training programs covering techniques and SOP s. Many tools lack good SOP s and therefore on many occasions need to be developed in house. Some tools are prone to cavitation and degassing liquids more than others. This can skew results and decrease repeatability. Challenge when comparing data from tool to tool. However, with that said, Large Particle Analysis is still one of the best analytical methods of choice: To aid in slurry trouble-shooting Slurry filter optimization and recommendations Equipment and component evaluations

30 Future Needs Analyzers that can detect large particles at lower micron ranges. Sub 0.1µ detection capability is needed for future nodes of chip technology with high resolution capability. Better tool-to-tool repeatability is needed. One area of limitation: particle hardness. (Large soft particles that do not impact wafer defectivity) Soft vs. hard particles is one area missed by large particle counters. Therefore, can t assume a larger particle tail will automatically give poor wafer results. Furthermore, cannot assume the slurry is bad because the LPC s were higher on one tool compared to another. Optimized liquid stream handling for improved results and efficiency. A method to determine particle hardness in a liquid stream would be of great benefit to the industry if one can be developed.

31 References [1] J. Bare, B. Johl, T. Lemke, Comparison of Vacuum-Pressure vs. Pump Dispense Engines for CMP Slurry Distribution, Semi Workshop, July 1998 and Semiconductor International, January [2] J. Bare, B. Johl, T. Lemke, CMP Slurry Distribution: Vacuum-Pressure vs. Pump Dispense NCCAVS, October, [3] B. Johl, M. Litchy, R. Schoeb, Effect of a Maglev Centrifugal Pump on Slurry Health and Defect Rates PacRim Int. Conference, Korea, [4] B. Johl, R. Singh, Optimum Process Performance Through Better CMP Slurry Management, Solid State Technology, August [5] B. Johl, et al., Dynamic Pot-Life and Handling Evaluation of EPL2362 First Step Copper Slurry, Proc. 8th Int. CMP Conference, Marina Del Ray, CA, February [6] B. Johl, T. Buley, Dynamic Pot-Life and Handling Evaluation of Rodel CUS1351 Copper Barrier Slurry, Proc. 7th Int. CMP Conference, San Jose, [7] B. Johl, et al., Accelerated Aging and Handling Evaluation of Rodel CUS1201 Second Step Slurry in BOC Edwards Bulk Delivery System, Proc. VMIC Conference, [8] R. Singh, B. Johl, Characterization of a Silica Based STI CMP Slurry in a Vacuum-Pressure Dispense Slurry Delivery System and Pump Loop, Proc. VMIC Conference, [9] J.P. Bare, B. Johl, Accelerated Aging and Handling Evaluation of Rodel ILD1300 Oxide CMP Slurry, Proc. AVS N. Calif. CMPUG Annual Symposium, [10] J.P. Bare, B. Johl, Comparison of Humidified vs. Non-humidified Vacuum Pressure CMPS Slurry Distribution System, Proc. VMIC Conference, [11] B. Johl, Slurry Handling, Troubleshooting and Filtration, Levitronix CMP Users Conference, Santa Clara, CA, February [12] B. Johl, H. Porter, Investigation of Valve Effects on Wafer Defectivity using an Oxide Slurry, Levitronix CMP Users Conference, Santa Clara, CA, February 2006.

32

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability Budge Johl 29 th European CMP Users Symposium Spring 2013, Zurich, Switzerland Outline Background

More information

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Slurry Handling, Troubleshooting and Filtration Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Key CMP Issues & Their Causes Three key CMP issues encountered in field: High defects/microscatches

More information

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Budge Johl and Hethel Porter 2008 4 th Annual Levitronix CMPUG Symposium Rohm and Haas Electronic Materials

More information

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Budge Johl, DOW Electronic Materials 2011 Levitronix Users Group Conference May 11, 2011 Introduction As device line widths

More information

CMP Pump Effects on Filter Life

CMP Pump Effects on Filter Life CMP Pump Effects on Filter Life Rakesh K. Singh, Ph.D., P.E. Mykrolis Corporation Mykrolis Corporation, Rakesh K. Singh 1 Acknowledgments Slurry manufacturers for providing CMP slurry and abrasive dispersion

More information

Susceptibility of Different Slurry Types to Agglomeration

Susceptibility of Different Slurry Types to Agglomeration Susceptibility of Different Slurry Types to Agglomeration Mark Litchy 1, Don Grant 1, and Reto Schoeb 2 1 CT Associates, Inc. 2 Levitronix GmbH Levitronix CMP Users Conference 29 February 1, 29 Slide 1

More information

EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES

EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES Mark R. Litchy and Donald C. Grant CT Associates, Inc. Reto Schoeb

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

Particle Agglomeration Mechanisms in CMP Slurries

Particle Agglomeration Mechanisms in CMP Slurries Particle Agglomeration Mechanisms in CMP Slurries Mark Litchy and Don Grant CT Associates, Inc. February 16, 2006 Slide 1 Introduction Some CMP slurries are said to be shear-sensitive, implying that if

More information

Advances in LPC* Monitoring in CMP Slurries

Advances in LPC* Monitoring in CMP Slurries Advances in LPC* Monitoring in CMP Slurries Statistics are a Bear Mark Bumiller Particle Sizing Systems (*LPC = Large Particle Counts, typically > 1 µm) LPC vs. Defects/Scratches (Yield)* Slurries differing

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Presentation for the Levitronix Conference February 1,

More information

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Chemical Mechanical Planarization (CMP) Slurry Manufacturing Customer Application Brief Chemical Mechanical Planarization (CMP) Slurry Manufacturing Introduction The Chemical Mechanical Planarization (CMP) process plays a key role in the manufacture of data storage,

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits. Betapure Series Filter Capsules Features & Benefits Graded Porosity Design Superior reduction of hard and soft gel contaminants, for reduced defectivity and improved yields High contaminant holding capacity

More information

Performance of an Entegris phasor X Heat Exchanger in Cabot Semi-Sperse 12

Performance of an Entegris phasor X Heat Exchanger in Cabot Semi-Sperse 12 Performance of an Entegris phasor X Heat Exchanger in Cabot Semi-Sperse 12 Mark Litchy, Dennis Chilcote and Don Grant CT Associates, Inc. Bipin Parekh, Annie Xia, Michael Clarke, and Russ Mollica Entegris,

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

Challenges of Slurry Distribution System Simulation for Fumed Silica Based Slurry. Pete Bridger JSR Micro NV

Challenges of Slurry Distribution System Simulation for Fumed Silica Based Slurry. Pete Bridger JSR Micro NV Challenges of Slurry Distribution System Simulation for Fumed Silica Based Slurry Pete Bridger JSR Micro NV Contents Background Test Configuration SDS Design Results of Levitronix & Diaphragm Pumps Impact

More information

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Maskless Nanowriter HR001-06-03-0008 Post- CMP Defectivity is one of the major factors affecting its

More information

Piedmont Chapter 14, Vibration Institute Meeting December 5, Midlands Technical College West Columbia, SC

Piedmont Chapter 14, Vibration Institute Meeting December 5, Midlands Technical College West Columbia, SC Piedmont Chapter 14, Vibration Institute Meeting December 5, 2008 Midlands Technical College West Columbia, SC Introduction and Timing Jeremiah JB Bacon C.L.S. PetroLiance, LLC Presentation should take

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing etapure Series Filter Cartridges Superior Reduction Of Large Particle Counts For Improved Yields etapure filter cartridges are high capacity depth filters optimized for oxide and metal slurries used in

More information

Mechanism for LPC Pooling and Release in CMP Slurry Lines

Mechanism for LPC Pooling and Release in CMP Slurry Lines Mechanism for LPC Pooling and Release in CMP Slurry Lines Kelly A. Barry Product Manager KBarry@VantageTechCorp.com Michael A. Fury, Ph.D. Director of Market Development MFury@VantageTechCorp.com Vantage

More information

Mechanism for LPC Pooling and Release in CMP Slurry Lines

Mechanism for LPC Pooling and Release in CMP Slurry Lines Mechanism for LPC Pooling and Release in CMP Slurry Lines Kelly A. Barry Product Manager KBarry@VantageTechCorp.com Michael A. Fury, Ph.D. Director of Market Development MFury@VantageTechCorp.com Vantage

More information

Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH. Levitronix Ultrapure User Conference 2013 April 11, 2013

Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH. Levitronix Ultrapure User Conference 2013 April 11, 2013 Evaluation of Particle Shedding and Trace Metal Extraction from Centrifugal Pumps Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH Levitronix Ultrapure User Conference 2013

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

How to ensure proper oil cleanliness in lubrication systems

How to ensure proper oil cleanliness in lubrication systems Technical topic How to ensure proper oil cleanliness in lubrication systems Energy lives here Introduction Maintaining proper oil cleanliness is critical to the success of any industrial operation. Oil

More information

Proper Filtration Removes Large Particles from Copper CMP Slurries

Proper Filtration Removes Large Particles from Copper CMP Slurries Proper Filtration Removes Large Particles from Copper CMP Slurries Mike H.-S. Tseng*, Karen Carter*, Joel Marchese*, Mike Parakilas*, Quamrul Arefeen, Thomas B. Hackett, and Steve Hymes 3M Purification

More information

Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process

Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process SEMICON West 2004 - SEMI Technical Symposium: Innovations in Semiconductor Manufacturing (STS: ISM) Alex Pamatat*, Brian

More information

Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry

Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry Contact Us: www.pall.com/contact Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry Prevention of Microbubbles During Filtration and

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

Photoresist & Ancillary Chemicals Manufacturing

Photoresist & Ancillary Chemicals Manufacturing Customer Application Brief Photoresist & Ancillary Chemicals Manufacturing Electronics Introduction Photoresists are photosensitive chemicals that are responsible for laying down and forming the circuitry

More information

Particle Characterization of Abrasives

Particle Characterization of Abrasives Particle Characterization of Abrasives Mark Bumiller mark.bumiller@horiba.com Factors Affecting Abrasion Mechanics Difference in hardness between the two substances: a much harder abrasive will cut faster

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Page 1 of 8

More information

History of Coagulation Control. John Clark Chemtrac Inc.

History of Coagulation Control. John Clark Chemtrac Inc. History of Coagulation Control John Clark Chemtrac Inc. About Us Biofilm ph Conductivity Dissolved Oxygen Free & Total Chlorine CRONOS CRIUS ORP TSS Chlorine Dioxide Ozone Streaming Current Particle Counter

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

DFE. Dynamic Filter Efficiency. hyprofiltration.com/dfe

DFE. Dynamic Filter Efficiency. hyprofiltration.com/dfe DFE Dynamic Filter Efficiency What is DFE? DFE matches filter testing with real-life conditions All hydraulic and lube systems have a critical contamination tolerance level that is often defined by, but

More information

Filter Material Cleanliness Characterization by Electrophoretic Method

Filter Material Cleanliness Characterization by Electrophoretic Method Filter Material Cleanliness Characterization by Electrophoretic Method Makonnen Payne and Rao Varanasi, Scientific and Laboratory Services - Microelectronics Pall Corporation (makonnen_payne@pall.com)

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

Hydraulic Fluid Contamination and Assessment. Fluid Power Engineering Technology Instructor Hennepin Technical College

Hydraulic Fluid Contamination and Assessment. Fluid Power Engineering Technology Instructor Hennepin Technical College Hydraulic Fluid Contamination and Assessment Presented by: Ernie Parker Fluid Power Engineering Technology Instructor Hennepin Technical College 1 About 95% of all hydraulic problems are cause by heat,

More information

Contamination Fundamentals

Contamination Fundamentals Contamination Fundamentals Contamination THE ENEMY TO MODERN HYDRAULIC SYSTEMS Types of Contamination SOLIDS LIQUIDS GASES Particle Size Diameter Comparison 1 µm = 0.001 mm = 0.000039 The human eye can

More information

EPK- EasyTest Patch Test Kit Manual

EPK- EasyTest Patch Test Kit Manual EPK- EasyTest Patch Test Kit Manual L-2730 The Patch Test Kit from Schroeder provides the necessary tools to determine levels of both water and solid particulate contamination present in a particular fluid

More information

Semiconductor Components, Instruments and Subsystems (SCIS)

Semiconductor Components, Instruments and Subsystems (SCIS) Semiconductor Components, Instruments and Subsystems (SCIS) Introduction to the SCIS SIG March 2016 Special Interest Group (SIG) High Volume Manufacturing at Advanced Process Nodes will be Gated by Equipment

More information

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Sidney Huey, Steven T. Mear, Yuchun Wang, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

This document is downloaded from the Digital Open Access Repository of VTT. VTT P.O. box 1000 FI VTT Finland

This document is downloaded from the Digital Open Access Repository of VTT. VTT  P.O. box 1000 FI VTT Finland This document is downloaded from the Digital Open Access Repository of VTT Title Ultrasound assisted cleaning of ceramic capillary filter Author(s) Pirkonen, Pentti; Grönroos, Antti; Heikkinen, Juha; Ekberg,

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

Analytical Tools for Reliable Detection and Characterization of Protein Particles. Alla Polozova Analytical Biochemistry, MedImmune

Analytical Tools for Reliable Detection and Characterization of Protein Particles. Alla Polozova Analytical Biochemistry, MedImmune Analytical Tools for Reliable Detection and Characterization of Protein Particles Alla Polozova Analytical Biochemistry, MedImmune Outline What to expect: Types of particles which might be present in protein

More information

Rethinking dispersion

Rethinking dispersion Rethinking dispersion A shear-free inline dispersion process for high volume automatic production. Lumps, or more scientifically, agglomerates, can render a complex product such as a formulated paint useless

More information

Technical White Paper

Technical White Paper Technical White Paper XX.XXXX Component Cleanliness of Fluid Systems in Automotive and Hydraulics Complying with ISO Standards Abstract: As the presence of particulate contamination in the lubricant is

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

SINGLE-STAGE FILTRATION WITH SINTERED METAL TUBULAR BACKWASH FILTERS

SINGLE-STAGE FILTRATION WITH SINTERED METAL TUBULAR BACKWASH FILTERS SINGLE-STAGE FILTRATION WITH SINTERED METAL TUBULAR BACKWASH FILTERS By: Dr. Ernie Mayer, DuPont Dr. Klaus J. Julkowski Louise L. Stange Mott Corporation Presented at the American Filtration and Separation

More information

SOLID-LIQUID SEPARATION TECHNOLOGY FOR REMOVING CONTAMINANT FINES FROM WATER SCRUBBING, CLARIFIER EFFLUENTS AND GREY WATER PAPER NUMBER

SOLID-LIQUID SEPARATION TECHNOLOGY FOR REMOVING CONTAMINANT FINES FROM WATER SCRUBBING, CLARIFIER EFFLUENTS AND GREY WATER PAPER NUMBER SOLID-LIQUID SEPARATION TECHNOLOGY FOR REMOVING CONTAMINANT FINES FROM WATER SCRUBBING, CLARIFIER EFFLUENTS AND GREY WATER PAPER NUMBER 480801 Barry A. Perlmutter, President & Managing Director BHS-Sonthofen

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

WASTE MINIMIZATION WITH POROUS METAL BACKWASH FILTERS

WASTE MINIMIZATION WITH POROUS METAL BACKWASH FILTERS WASTE MINIMIZATION WITH POROUS METAL BACKWASH FILTERS Dr. Klaus J. Julkowski Dr. Kenneth L. Rubow Mott Corporation Dr. Ernie Mayer DuPont Presented at the Chem Show Conference New York City, NY November

More information

Convective heat transfer and flow characteristics of Cu-water nanofluid

Convective heat transfer and flow characteristics of Cu-water nanofluid Vol. 45 No. 4 SCIENCE IN CHINA (Series E) August 2002 Convective heat transfer and flow characteristics of Cu-water nanofluid LI Qiang XUAN Yimin School of Power Engineering, Nanjing University of Science

More information

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow July 11, 2018 Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology Michael Mills, PhD Fellow. Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology 1)

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Introduction to Cleanroom

Introduction to Cleanroom ECE 541/ME 541 Microelectronic Fabrication Techniques MW 4:00-5:15 pm, Taft Hall 204 Introduction to Cleanroom Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Semiconductor manufacture particulate

More information

Filtration for Improved Bearing and Equipment Life Filtration for Improved Bearing and Equipment Life

Filtration for Improved Bearing and Equipment Life Filtration for Improved Bearing and Equipment Life Filtration for Improved Bearing Martin Williamson KEW Engineering Ltd. Bearings can have an infinite life when particles larger than the lubricant film are removed. SKF 2 3 4 Case Studies & Successes Nippon

More information

Validation of Sterilizing Grade Filters

Validation of Sterilizing Grade Filters Validation of Sterilizing Grade Filters Presented by Laura Okhio-Seaman Sartorius Corporation 1 Sterilizing Grade Filters The definition of a sterilizing grade filter is one that will produce a sterile

More information

CATALYST FILTRATION for the Chemical Processing Industry using Mott LSI Backwash Filter Media

CATALYST FILTRATION for the Chemical Processing Industry using Mott LSI Backwash Filter Media TECHNICAL BULLETIN CATALYST FILTRATION for the Chemical Processing Industry using Mott LSI Backwash Filter Media By Louise Stange, Research & Applications Specialist, Mott Corporation CATALYST FILTRATION

More information

POREX Tubular Membrane Filter (TMF ) Applied in a ZLD System as Critical Solid/Liquid Separation Process

POREX Tubular Membrane Filter (TMF ) Applied in a ZLD System as Critical Solid/Liquid Separation Process POREX Tubular Membrane Filter (TMF ) Applied in a ZLD System as Critical Solid/Liquid Separation Process Abstract Introduction Beijing Shougang Biomass Energy Technology Co., Ltd, a branch company of SHOUGANG

More information

DETONATION TRAP STUDIES

DETONATION TRAP STUDIES DETONATION TRAP STUDIES BY C. JAMES DAHN, SAFETY CONSULTING ENGINEERS, INC. QUENTIN BAKER, WILFRED BAKER ENGINEERING, INC. A. KASHANI, SAFETY CONSULTING ENGINEERS, INC. BERNADETTE N. REYES, SAFETY CONSULTING

More information

CHAPTER 7 MICRO STRUCTURAL PROPERTIES OF CONCRETE WITH MANUFACTURED SAND

CHAPTER 7 MICRO STRUCTURAL PROPERTIES OF CONCRETE WITH MANUFACTURED SAND 99 CHAPTER 7 MICRO STRUCTURAL PROPERTIES OF CONCRETE WITH MANUFACTURED SAND 7.1 GENERAL Characterizing the mineralogy of the samples can be done in several ways. The SEM identifies the morphology of the

More information

The World s Most Versatile Filter System

The World s Most Versatile Filter System The World s Most Versatile Filter System Full-flow filtration systems By-pass filtration systems Water Based Fluids Process Fluids Heating oils Turbine oils Bioethanol Hippo Filter Biodiesel Kerosene Diesel

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

distributed by

distributed by distributed by www.tri-iso.com steven@tri-iso.com PERFORMANCE DIATOMACEOUS EARTH (DE) Diatomaceous earth, or diatomite, is the skeletal remains of microscopic unicellular plants which lived in the ancient

More information

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany INTRODUCTION Modern microelectronic products require packages that address the driving forces of reduced size and weight, as well as increased performance at high frequencies. Flipchip and direct chip

More information

UFR+L FILTER REGULATOR + LUBRICATOR

UFR+L FILTER REGULATOR + LUBRICATOR FEATURES The UFR+L filter regulator + lubricator is intended for the treatment of compressed air or compressed gas. Its function is that of supplying filtered, lubricated air at the desired pressure for

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

Improving Material-Specific Dispense Processes for Low Defect Coatings

Improving Material-Specific Dispense Processes for Low Defect Coatings Improving Material-Specific Dispense Processes for Low Defect Coatings Brian Smith* a, Raul Ramirez b, Jennifer Braggin b, Aiwen Wu b, Karl Anderson, John Berron a, Nick Brakensiek a and Carlton Washburn

More information

Donaldson Delivers Portable Fluid Analysis Kit

Donaldson Delivers Portable Fluid Analysis Kit Donaldson Delivers Portable Fluid Analysis Kit Kit Part Number X009329 Carrying Case Membrane Holder & Funnel Assembly P567863 Filter for Solvent Dispensing Bottle P567860 (ea.) 500 ml Solvent Dispensing

More information

BOKELA DYNO Filter. Dynamic Crossflow Filtration. 02/ 2018 en

BOKELA DYNO Filter. Dynamic Crossflow Filtration. 02/ 2018 en BOKELA DYNO Filter Dynamic Crossflow Filtration 02/ 2018 en Dynamic Crossflow Filtration with the BOKELA DYNO Filter Dynamic Membranfiltration - Dynamic Sieve Filtration - Dynamic Precoat Filtration Separation

More information

Filtration of Industrial Lubes:

Filtration of Industrial Lubes: 20-25 tlt prac. apps 12-05 11/22/05 3:10 PM Page 20 Practical Applications R Filtration of Industrial Lubes: Modern Industry s Drive for Efficiency educed maintenance and lower operating cost requires

More information

The DYNO Filter. 12 / 2012 e

The DYNO Filter. 12 / 2012 e The DYNO Filter 12 / 2012 e Dynamic Crossflow Filtration Separation of solid particles > 0.01 µm (micro and ultra filtration) Dead end filtration: absolute clear filtrate High flow rates even with highly

More information

µ Slide Membrane ibipore Flow

µ Slide Membrane ibipore Flow The ibidi product family is comprised of a variety of µ Slides and µ Dishes, which have all been designed for high end microscopic analysis of fixed or living cells. The high optical quality of the material

More information

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes purify protect transport Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes 2010 International Workshop on EUV Lithography June 22-24, 2010 Annie Xia, Sr. Applications

More information

WHAT IS PERLITE? MINING AND ORE PROCESSING

WHAT IS PERLITE? MINING AND ORE PROCESSING WHAT IS PERLITE? Perlite, an igneous rock, is formed by the hydration of rhyolitic obsidian, a rock which is the result of rapid chilling of molten high silica rhyolitic lava. The volcanic origin of perlite

More information

PERFORMANCE DIATOMACEOUS EARTH (DE) DIATOMITE IN PRESSURE FILTRATION PRECOAT

PERFORMANCE DIATOMACEOUS EARTH (DE) DIATOMITE IN PRESSURE FILTRATION PRECOAT PERFORMANCE DIATOMACEOUS EARTH (DE) Diatomaceous earth, or diatomite, is the skeletal remains of microscopic unicellular plants which lived in the ancient fresh and salt water lakes and oceans. The deposits

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets Christopher Borst College of Nanoscale Science and Engineering Albany, NY 450mm Wafer / Technology

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Improving material-specific dispense processes for low-defect coatings

Improving material-specific dispense processes for low-defect coatings Improving material-specific dispense processes for low-defect coatings Nick Brakensiek *a, Jennifer Braggin b, John Berron a, Raul Ramirez b, Karl Anderson b, Brian Smith a a Brewer Science, Inc., 2401

More information

The Impact of Spare Parts. Performance

The Impact of Spare Parts. Performance The Impact of Spare Parts Design and Manufacturing on ACQUITY UPLC System Performance High quality spare parts ensure that your ACQUITY UPLC System runs optimally, protecting your investment in state-of-the-art

More information

Clean Oil. Guide. 100 micrometres Grain of salt. 40 micrometres Naked eye visibility. 70 micrometres Human hair. 3 micrometres OIL

Clean Oil. Guide. 100 micrometres Grain of salt. 40 micrometres Naked eye visibility. 70 micrometres Human hair. 3 micrometres OIL Clean Oil ADDING ENERGY Guide 100 micrometres Grain of salt 0 micrometres Naked eye visibility 70 micrometres Human hair 5 micrometres Pollen micrometres Coal dust micrometres OIL micrometres Bacteria

More information

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* 1 Fujimi Corporation, Oregon, USA 97062 2 Fujimi Incorporated, Gifu, Japan 509-0108 *Contacts: hli@fujimico.com,

More information

Adsorptive and Mechanical Mechanisms of Fluid Purification Using Charge Modified Depth Filtration Media. Dr. Robert Conway, Ph.D.

Adsorptive and Mechanical Mechanisms of Fluid Purification Using Charge Modified Depth Filtration Media. Dr. Robert Conway, Ph.D. Adsorptive and Mechanical Mechanisms of Fluid Purification Using Charge Modified Depth Filtration Media Dr. Robert Conway, Ph.D. Depth Filter Cartridge Construction Zeta Plus Media Materials of Construction

More information

Introduction to TFF. Sengyong Lee Ph.D. Professor/ Program Chair Biotechnology/ Biology Ivy Tech Community College Bloomington, Indiana

Introduction to TFF. Sengyong Lee Ph.D. Professor/ Program Chair Biotechnology/ Biology Ivy Tech Community College Bloomington, Indiana Introduction to TFF Sengyong Lee Ph.D. Professor/ Program Chair Biotechnology/ Biology Ivy Tech Community College Bloomington, Indiana Main Agenda Biomanufacturing and Filtration Filtration Principles

More information

DESIGN AND OPERATION OF POROUS METAL CROSSFLOW MICROFILTERS

DESIGN AND OPERATION OF POROUS METAL CROSSFLOW MICROFILTERS DESIGN AND OPERATION OF POROUS METAL CROSSFLOW MICROFILTERS Dr. Klaus J. Julkowski Presented at the American Filtration and Separation Society Conference Nashville, TN April 23-26, 1995 1 DESIGN AND OPERATION

More information

Lubricant management for non-circulating sumps

Lubricant management for non-circulating sumps Best Practices Mike Johnson Lubricant management for non-circulating sumps Failing to follow these simple measures likely will lead to shortened life expectancy for high-criticality machines. Key Concepts:

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information