Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process

Size: px
Start display at page:

Download "Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process"

Transcription

1 Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process SEMICON West SEMI Technical Symposium: Innovations in Semiconductor Manufacturing (STS: ISM) Alex Pamatat*, Brian Bottema*, Keven Cline*, and Mike H.-S. Tseng** * Motorola Incorporated, 350 Ed Bluestein K3, Austin, Texas 7872 **CUNO Incorporated, 400 Research Parkway, Meriden, CT alex.pamatat@motorola.com mtseng@cuno.com Abstract A filtration scheme was designed and built to simulate a slurry distribution system and point of use (POU) slurry dispensing in order to evaluate filter performance in removing large particles from a colloidal silica based st step copper Chemical Mechanical Planarization (CMP) slurry. The major role of distribution loop filtration is to capture a portion of the large particles and protect the POU filter from premature plugging in order to deliver maximum filter service life. The filtration scheme was optimized based on large particle count (LPC) reduction and differential pressure across filter housings. Percent solids of CMP slurry samples were found to remain constant after filtration. For the existing distribution loop filtration scheme, polishing parameters including defectivity, copper removal rate, and filter usage were collected for patterned, production wafers over time to establish a baseline. The same polishing parameters were generated with the optimized filtration scheme for the slurry distribution loop. This work demonstrated the significance of the optimized distribution loop filtration on performance of the copper CMP process. Introduction Chemical Mechanical Planarization, is an enabling technology used in the production of complex semiconductor chips. CMP, which provides global and local planarization of wafer surfaces with micro-circuitry, is necessary to maintain precise circuit pattern details during the photolithography process. This becomes critical as line widths decrease and circuit levels increase. Killer defects from slurry contaminants have been linked to particles 0.5 µm at concentrations of ~50,000 counts per ml or less (). Filtration has been shown to be effective in removing large particles from CMP slurry, resulting in a reduction of micro-scratches on the polished wafer surfaces (2-5). Hence, filtration has been integrated into the CMP process for better yield management in manufacturing IC devices. To run a stable CMP operation, it is highly desirable to eliminate unscheduled filter changes, reduce the number of filter changes, improve process control, and lower the cost of tool ownership. In this work, a laboratory filtration test stand was set up to simulate both the distribution loop and point of use (POU) slurry dispensing in order to evaluate filter performance. Using results generated from laboratory testing, a filtration scheme was recommended for production trials. The objective of this work was to optimize the distribution loop filtration and to examine its impact on the performance of a copper CMP process regarding defectivity, copper removal rate, filter change-out, and cost of tool ownership. Experimental Filter and Slurry CUNO OPTIMA CMP filters were first tested in the laboratory to determine an optimized filtration scheme for subsequent production trials. Colloidal silica CMP slurry formulated with a peroxide-based oxidizer was obtained from Motorola MOS3 for filtration evaluation. The slurry is designed for a st step copper (Cu) CMP process for Cu removal and has a ph of approximately 8.5. Filtration Protocol To mimic the actual filtration process in the fab environment, multi-stage filtration was performed with two stage distribution loop filtration and single stage POU filtration as shown in Figure. Diaphragm Pump Ball Valve Distribution Loop Filtration POU Filtration Figure Schematic of slurry distribution and point of use filtration Metering Valve Once the filtration test stand was set up to simulate CMP slurry distribution in a slurry distribution tool and POU dispensing, a filtration protocol was followed as described below. () Introduce fresh de-ionized (DI) water to the empty test system at a desired flow rate. To bleed air from the system, close the downstream valves on both the recirculation loop and the point of use leg. Open the vent port on the first filter housing in the recirculation loop. Wait until steady stream of DI water passes through the vent port. When all air has been bled from the housing, close the vent port. Repeat the process on the second filter housing in the recirculation loop. When all the air has been bled from this housing, repeat the process on the point of use filter housing. Open the valves downstream of both the recirculation loop and the point of use filter housing completely. Any stored pressure will pass - -

2 through the system. Ensure effluent from the point of use housing is directed to the recirculation tank as well. Rinse empty system with DI water for a minimum of one hour to flush out any unwanted contaminants. (2) Drain DI water from the system and install 0.5 µm CUNO OPTIMA clean-up filters in both housings within the recirculation loop. Introduce fresh DI water to the system at a desired flow rate. Bleed system of excess air as described in step (). Recirculate DI water at the desired flow rate, for a minimum of one hour to further clean up the test system (up to a maximum of 24 hours if the system has been stagnant for several days). Drain DI water from system. (3) Install desired test filters (three) into appropriate housings. Introduce fresh DI water to the test system at a desired flow rate. Bleed system of excess air as described in step. (4) Ensure thorough wetting of each filter media. This is a two-step process as follows: (a) Close the valve downstream of the point of use filter to restrict flow solely through the recirculation loop. Next, limit the flow through the recirculation loop by closing the valve downstream of the test filters in this loop, until a back pressure of between psi (across each filter within the recirculation loop), is attained. Maintain the desired flow rate while recirculating DI water for 0 minutes to ensure sufficient wetting of the filter media. (b) Open the valve downstream of the point of use leg completely. Regulate the flow through the recirculation loop by adjusting the valve on this leg such that a pressure ranging between psi is achieved across the point of use test filter. Flow through the point of use filter is unregulated; allow DI water to recirculate for 0 minutes to ensure sufficient wetting of filter media. Drain system of all DI water. (5) Introduce slurry to the system at a pump speed required for the desired flow rate. Bleed system of excess air as described in step. Each pressure gauge will now display the true starting test pressures. Record each pressure. Pump slurry through the recirculation loop of the system at the desired flow rate, directly from a covered vessel containing 30 liters of non-agitated slurry. Flow through both the recirculation loop and the point of use filters should be regulated via the valves downstream of the recirculation loop and the point of use filters, to maintain the desired recirculation flow rate and POU flow rate. (6) Collect 25 ml influent and effluent samples at the recirculation loop and at the point of use filter. Caution should be taken to ensure sample vials are completely filled (trapped air promotes slurry drying, which leads to LPC s). Times of sample collection are as follows: (a) distribution and POU filtration: hr, 3 hr, 5 hr, 24 hr (b) Influent: 0 hr (prior to test start), 5 hr, 24 hr. Record the test pressure across all filters for each sampling interval. (7) Halt the introduction of slurry to the system, but continue to pump at the desired flow rate until the remaining slurry is exhausted from the system. Then introduce fresh DI water at the desired flow rate. Bleed any excess air from the system as described in step. Flush DI water through the system, and allow it to go to the drain for a minimum of 5 minutes, or until the color of the effluent becomes clear (an indication that the bulk of slurry has been removed from the system). (8) Drain the system of DI water and remove the test filter. Two 0.5 µm CUNO OPTIMA filters are then installed into both housings to clean up the recirculation loop. Introduce fresh DI water to the system at the desired flow rate. Bleed the system of any excess air as described in step. Flush fresh DI water to the drain for a minimum of 5 minutes and then recirculate DI water throughout the system for a minimum of 20 minutes. (9) If another trial is not immediately scheduled, one of the following two options should be implemented: a) If the system is to be inactive for a short period of time (maximum of 48 hours), reduce the flow rate to minimize wear and tear on the pump. Continue recirculation until ready to begin next trial. Then, repeat steps 3-9. b) If the system is to be inactive indefinitely, close the valve downstream of the test filter. Allow the operating pressure across the filter to increase to 30 psig to prevent any residual slurry from drying and then stop the pump. Maintain the system in this pressurized state until prepared to begin the next trial. Repeat steps -9. Particle Sizing Analysis Particle size analysis was performed for influent and effluent samples collected at different filtration times via Accusizer Model 780A by Particle Sizing Systems. The LPC 0.56 µm were reported and used for computing filter efficiency. Percent Solids Determination The concentration of silicon (Si) in solution was determined using Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP AES). The amount of silicon (Si) present was converted to silicon dioxide (SiO 2 ) via appropriate calculations. Wafer Polishing The selected filters for distribution loop filtration were installed in the slurry distribution system at Motorola s MOS3 facility. The differential pressures across the distribution loop filters were monitored and used as references. Distribution loop and POU filters were typically changed out based on a weekly and bi-weekly preventive maintenance (PM) schedule, respectively. Flow rates for slurry priming and production runs were kept the same throughout the distribution loop and POU for both CUNO and the incumbent competitive filters. No changes were made to the distribution loop back pressure. After POU filtration, the effluent was supplied to the polishing tools for wafer polishing evaluation. All polishing data was obtained by processing 200 mm substrates with 0.3 µm line width dimensions on an Applied Materials Mirra tool at Motorola s MOS3 facility. Defect scan measurements were taken on an Applied Materials Compass and a KLA 238, KLA defect classification was completed on a SEMVision. All data and comparisons were collected on a process using the same stacked IC type - 2 -

3 urethane pad, diamond conditioner disk, and commercially available colloidal silica slurry for each process run. Flat film wafers with a 0 ka copper film on top of a TEOS base layer were used for all of the copper removal rate tests. Copper film thickness measurements were made with a Tencor RS75. Results and Discussion Particle Size Distribution for 0.56 µm Before and After Filtration To optimize the distribution loop filtration, several multistage filtrations were performed using the laboratory filter test stand. Particle removal 0.56 µm is summarized in Table for CUNO OPTIMA filters. Table Summary of Particle Removal 0.56 µm fordistribution Loop Filtration Particle Removal 0.56 µm CUNO OPTIMA. Filtration Time, hr 0 µm/5 µm 5 µm/3 µm 5 µm/ µm In the distribution loop, the filtration scheme which employed a 5 µm pre-filter, followed by a 3 µm final filter demonstrated a higher LPC reduction than the scheme consisting of a 0 µm pre-filter and a 5 µm final filter. Although the LPC reduction was further improved by the filtration scheme consisting of a 5 µm pre-filter and µm final filter, the differential pressure across the µm filter was elevated, raising the potential for pre-mature plugging. Therefore, the filtration scheme consisting of the 5 µm prefilter and 3 µm final filter was recommended for production trials. Percent Solids The percent solids of both the influent and effluent were sampled at, 3, 5, and 24 hour intervals and then analyzed by ICP. Several atomic absorption silicon (Si) standards were prepared and used to establish a calibration curve. Relative changes in percent solids for the effluent samples were determined to be within 5 % of the unfiltered CMP slurry. These changes are within the experimental uncertainty for measuring Si content via ICP (4). Therefore, the CUNO and competitive filters did not alter the percent solids of the CMP effluent. Hence, the polishing rate is expected to remain unchanged with the use of either CUNO or the competitive filtration scheme. Wafer Polishing Performance In the wafer polishing performance evaluation, the polishing data collected for the competitor 0 µm prefiltration and 5 µm final filtration was used as a baseline for comparison. Normalized copper removal rate data is presented in Figure 2 for CUNO and the competitive filtration schemes. Bulk copper removal was completed on platen while optical endpoint and overpolish were performed on platen 2. The copper removal rate data was measured using 6 mm edge exclusion and 30 measurement sites were taken per wafer. Polishing rate data is normalized to the total mean of the rate for each platen. Each data point represents the average of 30 measurement points for a single wafer and each data set consists of month of data as shown in Figure 2. The removal rate of copper remained unchanged when CUNO and the competitive filtration schemes were used for the distribution loop. Therefore, there was no change in the copper polishing rate when the OPTIMA filtration scheme was employed. Oneway analysis of the normalized Compass total defect counts are presented in Figure 3 for production loop A, B, and C. Each production distribution loop supports a number of polishing tools. Baseline defect data was collected using the competitive 0 µm pre-filter and 5 µm final filter in the distribution loop followed by a 0.3 µm POU filter at the polishing tool. To evaluate the impact of the optimized distribution loop filtration on the CMP process performance, CUNO s OPTIMA 5 µm and 3 µm filters were installed in Distribution loop B, the incumbent POU filtration level remained at 0.3 µm. Defect data was collected by polishing a large number of wafer lots including 497, 270, and 207 for the competitor s filtration scheme whereas 9 lots were used for CUNO s OPTIMA filters; 4 wafers per lot were used for defect analysis. In Figure 3, each defect data point represents the average of 4 wafers from a given lot. All defect count data is normalized to the median of the CUNO data set. Distribution loop A displays a significant variation in defect count. This probably illustrates the variation from polisher to polisher as well as the large sample size for this loop. Since testing for normality proved each dataset distribution to be not normal, t- test was not used and means comparisons of normalized defect counts was not performed. To get the same baseline for comparison, distribution loop B was used for testing OPTIMA filters and the incumbent competitive filters. The normalized defect count data for production loop B is presented in Figure 4 for CUNO and the competitive filters. The CUNO OPTIMA filtration scheme resulted in an apparent shift to lower total defect counts as presented in Figure 4. However, the distribution of defect count data is not normal even after transformation using the formula of (normalized defect count) , and therefore, t-tests are not appropriate making it impossible to perform meaningful statistical analysis of the defectivity impact by the optimized filtration versus the incumbent filtration schemes. Figure 5a is a random adder defect density control chart from inline defect monitor scans on a high volume 0.3 µm production part. The random adder defectivity includes all killer defectivity types (i.e. killer scratches, non-killer scratches, killer plate block, killer missing pattern, killer residual metal, etc.). 20% of the total volume of this 0.3 µm material is scanned at pre-determined process stages, post metal 4 CMP happens to be one of these process stages. Examining Figure 5b, we can see that the outlier data point in - 3 -

4 Figure 5a is due to excessive killer plate block defectivity. The root cause for plate block defects is most often associated with unit processes within the same module (i.e. metal layer) but prior to and independent of CMP. Excluding the outlier data point, a marginal improvement is seen in random adder defectivity by using the optimized filtration scheme. The impact of optimizing the distribution loop filtration on copper CMP performance can be best illustrated by analyzing monthly POU filter usage. Prior to implementing the OPTIMA filtration scheme, it was difficult to maintain the target POU filter change-out on a bi-weekly basis. This is because the 0.3 µm POU filter offered a service life anywhere between 2 hours and 4 days due to random flow decay and filter plugging which in turn required unscheduled change-out for the POU filter. With this filtration scheme an average of 66 POU filters were used each month. After implementing CUNO s OPTIMA filtration scheme, the useful life of the 0.3 µm POU filter was extended to beyond 28 days. Due to the increase in filter lifetime from using the OPTIMA filtration scheme, Motorola was able to reduce their POU usage to 42 filters per month. According to this study, a monthly PM was instituted for the POU filter by using the OPTIMA filtration scheme. Figure 6 clearly shows a downward trend in the usage rate after implementing this filtration scheme. It should be noted that the data is convoluted by tool soft idling, tool troubleshooting, scheduled slurry distribution loop flushes and the fact that multiple process areas (i.e. Copper, Tungsten, etc.) use the same POU filter, which results in an actual POU filter usage rate that deviates from the calculated 42 filters per month. Conclusions An optimized filtration scheme was determined based on LPC reduction and differential pressure via laboratory filtration testing using CUNO OPTIMA CMP slurry filters. The recommended filtration scheme was then implemented in the production distribution loop and the results demonstrated that the copper CMP process control was improved. Furthermore, unscheduled POU filter change outs were eliminated and the POU filter lifetime was doubled. Thus the cost of ownership for the process was reduced while maintaining the same PM schedule for the distribution loop filters and extending the lifetime of the POU filters. The OPTIMA filtration scheme resulted in an apparent downward shift to overall wafer level defectivity. Conference, Proceedings, February 9 2, 2003, Marina Del Rey, CA, p Westbrook, J.; Li, Y.; Tseng, H.-S.; Evaluation of Point of Use Filtration Systems for Copper CMP Slurry, 5 th International Symposium on Chemical-Mechanical Polishing, Center for Advanced Materials Processing, August 4 6, 2002, Lake Placid, New York. 3. Tseng, H.-S.; Carter, K.; Marchese, J.; Parakilas, M.; Arefeen, Q.; Hackett, T.B.; Hymes, S.; Proper Filtration Removes Large Particles from Copper CMP Slurries, Proceedings of Eighth International CMP-MIC Conference, February 9 2, 2003, Marina Del Rey, CA, p Tseng, H.S.; Proper Filtration Removes Oversized Particles from CMP Slurry Systems, Proceedings of the SEMICON China 2003 Technology Symposium Semiconductor Equipment and Materials, March 2-4, Shanghai, China, p Johl, B.; Manzonie, A.; Dynamic Pot-Life and Handling Evalution of EPL2362 First Step Copper Slurry, Eighth International CMP-MIC Conference, Proceedings, February 9 2, 2003, Marina Del Rey, CA, p Acknowledgments The authors would like to thank John Morby for performing bench top filtration experiment and Mike Parakilas for determining percent solids of slurry samples. Reference. Nicholes, K.; Litchy, M.R.;Hood, E.; Easter, W.G.; Bhethanabotla, V.R.; Cheema, L.; Grant, D.C.; Analysis of Wafer Defects Caused by Large Particles in CMP Slurry Using Light Scattering and SEM Measurement Techniques, Eighth International CMP-MIC - 4 -

5 Figure 2 Copper Removal Rate Oneway Analysis of Normalized Platen Rate by Filter Brand.2 Normalized Platen Rate Oneway Anova Summary of Fit CUNO 5 um/3 um Rsquare Adj Rsquare Root Mean Square Error Mean of Response Observations (or Sum Wgts) t-test Estimate Std Error Lower 95% Difference t-test 0.74 DF 29 Competitor Prob > t Assuming equal variances Analysis of Variance Source DF Sum of Squares Mean Square Filters Error C. Total Means for Oneway Anova Leve Number Mean Std Error l CUNO Competitor Std Error uses a pooled estimate of error variance Means and Std Deviations CUNO Competitor Number 06 5 Mean Std Dev Lower 95% F Ratio Prob > F Std Err Mean Lower 95% UCL TGT LCL LCL Oneway Analysis of Normalized Platen 2 Rate by Filter Brand.3.2 Normalized Platen 2 Rate Oneway Anova Summary of Fit CUNO 5 um/3um Rsquare Adj Rsquare Root Mean Square Error Mean of Response Observations (or Sum Wgts) t-test Estimate Std Error Lower 95% Difference Assuming equal variances Analysis of Variance Source Filters Error C. Total DF Number CUNO 05 Competitor t-test Sum of Squares Means for Oneway Anova Mean DF 29 Competitor Prob > t Mean Square Std Error Lower 95% F Ratio.097 Prob > F UCL UCL TGT TGT LCL LCL Std Error uses a pooled estimate of error variance Means and Std Deviations Number Mean Std Dev Std Err Mean Lower 95% CUNO Competitor Figure 3 Normalized Defect Count Data Comparison for Production Loop A, B, and C (Metal 4 Compass Data) Oneway Analysis of Normalized Defect Count (to CUNO 5/3) By Filter Brand Normalized Defect Count Baseline Loop A Competitor Filter Quantiles Baseline Loop A Baseline Loop C CUNO 5 um/3 um Loop Means and Std Deviations Baseline Loop A Baseline Loop C CUNO 5 um/3 um Loop B Competitor Filter Minimum Number % Mean Baseline Loop C Competitor Filter 25% Std Dev Median Std Err Mean CUNO 5 um/3 um Loop B 75% Lower % Maximum

6 Figure 4 Normalized Defect Count Data Comparison for Production Loop B - Metal 4 Compass Data Oneway Analysis of Normalized Defect Count (to CUNO 5/3) By Filter Brand 3 Oneway Analysis of Normalized Defect Count (to CUNO 5/3) By Filter Brand 3 Normalized Defect Count 2 After transformation Normalized Defect Count 2 Baseline Loop CUNO 5 um/3 um Loop B Baseline Loop CUNO 5 um/3 um Loop B Quantile CUNO 5/3 um Loop B Minimum % % Median.92 75% % Maximum Quantile Figure 5a Normalized Defect Density Production Data KLA/SEMVision ILM RADO Control Chart CUNO filters installed Metal 4 ILM Data /8/03 07/08/03 07/28/03 08/7/03 09/06/03 09/26/03 0/6/03 Minimum 0% CUNO 5 um/3 um Loop B Means and Std Number Mean CUNO 5 um/3 um Loop B % Median % % Maximum Std Dev Std Err Mean Lower 95% RADO SEMVision review showed defectivity to be killer plate block and killer voiding; not filter related. Date - 6 -

7 Figure 5b Normalized Killer Plate Block Production Data Metal 4 KLA/SEMVision ILM Control Chart Metal 4 Killer Plate Block Normalized Killer Plate Block High RADO data point from Figure 5a 06/8/03 06/25/03 07/02/03 07/09/03 07/6/03 07/23/03 07/30/03 08/06/03 08/3/03 08/20/03 08/27/03 09/03/03 09/0/03 09/7/03 09/24/03 0/0/03 0/08/03 0/5/03 0/22/03 Date Figure 6 Monthly POU Filter Usage Rate - including slurry flush usage- POU Filter Usage by Month including slurry flush usage- # of Filters X filter life time implementation Jan Feb Mar Apr May Jun Jul Aug Sept Oct Nov Dec Jan Feb - indicates a scheduled slurry flush was performed for the month Month - 7 -

8 a 3M company CUNO Filtration Asia Pte Ltd rd 8 Tuas Link (3 Floor) Singapore Cuno Filtration Shanghai Co, Ltd No. 2 Xin Miao San Rd, Xin Miao Town, Song Jiang District, Shanghai. China CUNO Pacific Pty Ltd 40 Sunnyholt Road Blacktown, NSW 248 Australia CUNO K.K. Hodogaya Station Building 6F -7 Iwai-cho, Hodogaya-ku Yokohama 240 Japan CUNO Latina Ltda Rua Amf Do Brasil Mairinque-Sp Brazil CUNO Ltd 2 Woking Business Park Albert Drive Woking, Surrey GU25JY United Kingdom Cuno Incorporated 400 Research Parkway Meriden, CT 06450, U.S.A. Tel: (800) (203) Fax: (203) Copyright CUNO Incorporated, ALL RIGHTS RESERVED LITTDELCMP4.0205

Proper Filtration Removes Large Particles from Copper CMP Slurries

Proper Filtration Removes Large Particles from Copper CMP Slurries Proper Filtration Removes Large Particles from Copper CMP Slurries Mike H.-S. Tseng*, Karen Carter*, Joel Marchese*, Mike Parakilas*, Quamrul Arefeen, Thomas B. Hackett, and Steve Hymes 3M Purification

More information

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Presentation for the Levitronix Conference February 1,

More information

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Budge Johl, DOW Electronic Materials 2011 Levitronix Users Group Conference May 11, 2011 Introduction As device line widths

More information

Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities. Budge Johl

Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities. Budge Johl Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities Budge Johl 2009 5 th Annual Levitronix CMPUG Symposium Particle Analyzers Particle size analysis can play

More information

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Budge Johl and Hethel Porter 2008 4 th Annual Levitronix CMPUG Symposium Rohm and Haas Electronic Materials

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Slurry Handling, Troubleshooting and Filtration Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Key CMP Issues & Their Causes Three key CMP issues encountered in field: High defects/microscatches

More information

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability Budge Johl 29 th European CMP Users Symposium Spring 2013, Zurich, Switzerland Outline Background

More information

Cartridge and Capsule Filters for Pharmaceutical & Biological Service. New FlexN Multi-Zone. Membrane Design

Cartridge and Capsule Filters for Pharmaceutical & Biological Service. New FlexN Multi-Zone. Membrane Design a 3M company LifeASSURE PB Cartridge and Capsule Filters for Pharmaceutical & Biological Service New FlexN Multi-Zone TM Membrane Design Combined with the Innovative Advanced Pleat Technology Construction

More information

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits. Betapure Series Filter Capsules Features & Benefits Graded Porosity Design Superior reduction of hard and soft gel contaminants, for reduced defectivity and improved yields High contaminant holding capacity

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

CMP Pump Effects on Filter Life

CMP Pump Effects on Filter Life CMP Pump Effects on Filter Life Rakesh K. Singh, Ph.D., P.E. Mykrolis Corporation Mykrolis Corporation, Rakesh K. Singh 1 Acknowledgments Slurry manufacturers for providing CMP slurry and abrasive dispersion

More information

Challenges of Slurry Distribution System Simulation for Fumed Silica Based Slurry. Pete Bridger JSR Micro NV

Challenges of Slurry Distribution System Simulation for Fumed Silica Based Slurry. Pete Bridger JSR Micro NV Challenges of Slurry Distribution System Simulation for Fumed Silica Based Slurry Pete Bridger JSR Micro NV Contents Background Test Configuration SDS Design Results of Levitronix & Diaphragm Pumps Impact

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Chemical Mechanical Planarization (CMP) Slurry Manufacturing Customer Application Brief Chemical Mechanical Planarization (CMP) Slurry Manufacturing Introduction The Chemical Mechanical Planarization (CMP) process plays a key role in the manufacture of data storage,

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry

Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry Contact Us: www.pall.com/contact Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry Prevention of Microbubbles During Filtration and

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

CUNO APPLICATION BRIEF

CUNO APPLICATION BRIEF CUNO APPLICATION BRIEF Zeta Plus Depth Filtration and Alternative Technologies for Cell Culture Clarification Introduction Production of therapeutics and diagnostics by cell culture processes has become

More information

Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH. Levitronix Ultrapure User Conference 2013 April 11, 2013

Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH. Levitronix Ultrapure User Conference 2013 April 11, 2013 Evaluation of Particle Shedding and Trace Metal Extraction from Centrifugal Pumps Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH Levitronix Ultrapure User Conference 2013

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Susceptibility of Different Slurry Types to Agglomeration

Susceptibility of Different Slurry Types to Agglomeration Susceptibility of Different Slurry Types to Agglomeration Mark Litchy 1, Don Grant 1, and Reto Schoeb 2 1 CT Associates, Inc. 2 Levitronix GmbH Levitronix CMP Users Conference 29 February 1, 29 Slide 1

More information

EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES

EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES Mark R. Litchy and Donald C. Grant CT Associates, Inc. Reto Schoeb

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS

PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS Heather Brown and Brian Hesse IEE 572 December 5, 2000 The tungsten chemical vapor deposition (WCVD) process has been used in the semiconductor

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

REDUCING MANUFACTURING CYCLE TIME OF WAFER FAB WITH SIMULATION

REDUCING MANUFACTURING CYCLE TIME OF WAFER FAB WITH SIMULATION Computer Integrated Manufacturing. J. Winsor, AI Sivakumar and R Gay, eds. World Scientific, (July 1995), pp 889-896. REDUCING MANUFACTURING CYCLE TIME OF WAFER FAB WITH SIMULATION Giam Kim Toh, Ui Wei

More information

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Sidney Huey, Steven T. Mear, Yuchun Wang, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054

More information

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004 Lehman Brothers T4 2004 Conference San Francisco Craig DeYoung, Vice President Investor Relations December 9, 2004 Safe Harbor Safe Harbor Statement under the U.S. Private Securities Litigation Reform

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 1. The thickness of a film deposited on wafers at a particular process step is subject

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING Laurent Vésier, Senior Quality Engineer, Rohm and Haas Electronic Materials CMP Technologies, Newark, DE Jason Lawhorn, Product Engineering

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Photoresist & Ancillary Chemicals Manufacturing

Photoresist & Ancillary Chemicals Manufacturing Customer Application Brief Photoresist & Ancillary Chemicals Manufacturing Electronics Introduction Photoresists are photosensitive chemicals that are responsible for laying down and forming the circuitry

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Advances in LPC* Monitoring in CMP Slurries

Advances in LPC* Monitoring in CMP Slurries Advances in LPC* Monitoring in CMP Slurries Statistics are a Bear Mark Bumiller Particle Sizing Systems (*LPC = Large Particle Counts, typically > 1 µm) LPC vs. Defects/Scratches (Yield)* Slurries differing

More information

Energy Savings Analysis Generated by a Real Time Energy Management System for Water Distribution

Energy Savings Analysis Generated by a Real Time Energy Management System for Water Distribution Energy Savings Analysis Generated by a Real Time Energy Management System for Water Distribution Sarah Thorstensen Derceto Ltd, Auckland, New Zealand sthorstensen@derceto.com Abstract Washington Suburban

More information

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing etapure Series Filter Cartridges Superior Reduction Of Large Particle Counts For Improved Yields etapure filter cartridges are high capacity depth filters optimized for oxide and metal slurries used in

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Ambient Air Monitoring. Wexford. 10 th March st March 2006

Ambient Air Monitoring. Wexford. 10 th March st March 2006 Ambient Air Monitoring In Wexford 10 th March 2005 31 st March 2006 Contents Summary....... 3 Introduction........ 4 Time Period........ 5 Siting......... 5 Monitoring Methods....... 6 Results.........

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

QFN Challenges: Second Bond Improvement to Eliminate the Weak Stitch (Fish Tail) Failure Mechanism on Pre Plated Lead Frame

QFN Challenges: Second Bond Improvement to Eliminate the Weak Stitch (Fish Tail) Failure Mechanism on Pre Plated Lead Frame QFN Challenges: Second Bond Improvement to Eliminate the Weak Stitch (Fish Tail) Failure Mechanism on Pre Plated Lead Frame Jacky Lee Sinn Fah, Sreetharan Sekaran, Rameish Rao Subarmaniyan Central Process

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

17 September 2014 Revision: 1 Reference:

17 September 2014 Revision: 1 Reference: Project name Good Practice Notes: Treated Effluent Usage as a Non-Potable Water Source in Century City Building Developments Century City Properties Owners Association (CCPOA) 17 September 2014 Revision:

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

450mm Transition Update

450mm Transition Update SEMICON Japan 2010 Accelerating Manufacturing Productivity 450mm Transition Update SEMICON Japan December 1, 2010 Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

China Nickel Industry Chain Analysis,

China Nickel Industry Chain Analysis, Shanghai Metals Market The Leading Metals Information Provider in China China Nickel Chain Analysis, -212 Hot topic The analysis on supply and demand balance of nickel in The forecast of the price trend

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial? It s very small world Electronics and Microelectronics AE4B34EM 9. lecture IC processing technology Wafer fabrication Lithography How to get 1 000 000 000 Components to 1 cm 2 Human hair on the surface

More information

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP John Gagliardi, Richard Webb, Chris Rueb - 3M Corporation Greg Menk, Pete McReynolds, Gopal Prabhu, Tom Osterheld - Applied

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Understanding and accounting for product

Understanding and accounting for product Understanding and Modeling Product and Process Variation Variation understanding and modeling is a core component of modern drug development. Understanding and accounting for product and process variation

More information

COMMERCIAL / INDUSTRIAL COOLING TOWER SIDE STREAM FILTER SYSTEMS

COMMERCIAL / INDUSTRIAL COOLING TOWER SIDE STREAM FILTER SYSTEMS COMMERCIAL / INDUSTRIAL COOLING TOWER SIDE STREAM FILTER SYSTEMS When performance & value matters. WHY DO COOLING TOWERS REQUIRE FILTRATION? Cooling towers are excellent air scrubbers. High volumes of

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Page 1 of 8

More information

Mechanism for LPC Pooling and Release in CMP Slurry Lines

Mechanism for LPC Pooling and Release in CMP Slurry Lines Mechanism for LPC Pooling and Release in CMP Slurry Lines Kelly A. Barry Product Manager KBarry@VantageTechCorp.com Michael A. Fury, Ph.D. Director of Market Development MFury@VantageTechCorp.com Vantage

More information

Mechanism for LPC Pooling and Release in CMP Slurry Lines

Mechanism for LPC Pooling and Release in CMP Slurry Lines Mechanism for LPC Pooling and Release in CMP Slurry Lines Kelly A. Barry Product Manager KBarry@VantageTechCorp.com Michael A. Fury, Ph.D. Director of Market Development MFury@VantageTechCorp.com Vantage

More information

Improving Material-Specific Dispense Processes for Low Defect Coatings

Improving Material-Specific Dispense Processes for Low Defect Coatings Improving Material-Specific Dispense Processes for Low Defect Coatings Brian Smith* a, Raul Ramirez b, Jennifer Braggin b, Aiwen Wu b, Karl Anderson, John Berron a, Nick Brakensiek a and Carlton Washburn

More information

Performance of an Entegris phasor X Heat Exchanger in Cabot Semi-Sperse 12

Performance of an Entegris phasor X Heat Exchanger in Cabot Semi-Sperse 12 Performance of an Entegris phasor X Heat Exchanger in Cabot Semi-Sperse 12 Mark Litchy, Dennis Chilcote and Don Grant CT Associates, Inc. Bipin Parekh, Annie Xia, Michael Clarke, and Russ Mollica Entegris,

More information

Making the move to cogeneration

Making the move to cogeneration Making the move to cogeneration > Decision factors for facility managers In theory, almost any facility with a simultaneous need for both electric and thermal energy is a potential candidate for the energy-saving

More information

CHAPTER 3 EXPERIMENTAL The Scanning Electron Microscopes. first SEM is a model ES20XP scanning E-beam inspection system manufactured by

CHAPTER 3 EXPERIMENTAL The Scanning Electron Microscopes. first SEM is a model ES20XP scanning E-beam inspection system manufactured by CHAPTER 3 EXPERIMENTAL 3.1. The Scanning Electron Microscopes The SEMs used in this study are currently in common use in modern IC fabs. The first SEM is a model ES20XP scanning E-beam inspection system

More information

PURELAB Chorus. Solutions for Type I Ultrapure Water

PURELAB Chorus. Solutions for Type I Ultrapure Water PURELAB Chorus Solutions for Type I Ultrapure Configure your solution Step 1: Choose your system Integrated Technology Typical Applications Select The Impurities You Want To Remove Advanced deionization

More information

CO2, SO2 and NOX Emission Rates. August 21, 2015

CO2, SO2 and NOX Emission Rates. August 21, 2015 August 21, 2015 This page is intentionally left blank. PJM 2015 www.pjm.com 1 P age Introduction In recent years, federal and state environmental regulations have applied or will apply more stringent restrictions

More information

San Antonio Water System Mitchell Lake Constructed Wetlands Below the Dam Preliminary Hydrologic Analysis

San Antonio Water System Mitchell Lake Constructed Wetlands Below the Dam Preliminary Hydrologic Analysis San Antonio Water System enhancement. This recommendation was based on limited water quality data provided by SAWS and using a free-water surface constructed wetland with approximately 112 acres of wetted

More information

NITROGEN REDUCTION IN RECIRCULATING BIOFILTER TREATMENT CONCEPTS. David Venhuizen 1

NITROGEN REDUCTION IN RECIRCULATING BIOFILTER TREATMENT CONCEPTS. David Venhuizen 1 NITROGEN REDUCTION IN RECIRCULATING BIOFILTER TREATMENT CONCEPTS David Venhuizen 1 Since the recirculation concept was first proposed by Hines and Favreau in the 1970 s, recirculating biofilters utilizing

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

recycle water reuse it save up to from showers & baths for flushing toilets 30% of water use

recycle water reuse it save up to from showers & baths for flushing toilets 30% of water use Residential recycle - Water reuse Recovery - save recycle water from showers & baths reuse it for flushing toilets save up to 30% of water use www.recoverwater.com How it works Greywater is lightly soiled

More information

Presented at the 28th European PV Solar Energy Conference and Exhibition, 30 Sept October 2013, Paris, France

Presented at the 28th European PV Solar Energy Conference and Exhibition, 30 Sept October 2013, Paris, France A NOVEL APPROACH TO HIGH PERFORMANCE AND COST EFFECTIVE SURFACE CLEANING FOR HIGH EFFICIENCY SOLAR CELLS A. Moldovan 1A, M. Zimmer 1, J.Rentsch 1, B.Ferstl 2, S.Rajagopalan 2, S.Thate 2, J.Hoogboom 2,

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

A Hands-On Guide to Ultrafiltration/Diafiltration Optimization using Pellicon Cassettes

A Hands-On Guide to Ultrafiltration/Diafiltration Optimization using Pellicon Cassettes Application Note A Hands-On Guide to Ultrafiltration/Diafiltration Optimization using Pellicon Cassettes In ultrafiltration (UF) tangential flow filtration (TFF) systems, operating parameter selection

More information

TECHNICAL SPECIFICATIONS

TECHNICAL SPECIFICATIONS TECHNICAL SPECIFICATIONS FOR THE SUPPLY OF A PECVD by LIQUID SOURCE PRECURSOR FOR SCUOLA SUPERIORE SANT ANNA ALLEGATO A LOTTO 1 PROCEDURA APERTA IN LOTTI PER LA FORNITURA DI APPARECCHIATURE SCIENTIFICHE

More information

PURELAB Chorus. Solutions for Type I Ultrapure Water

PURELAB Chorus. Solutions for Type I Ultrapure Water PURELAB Chorus Solutions for Type I Ultrapure Configure your solution Step 1: Choose your system Integrated Technology Typical Applications Select The Impurities You Want To Remove Advanced deionization

More information

Water Resources Director: Chris Graybeal

Water Resources Director: Chris Graybeal Water Resources Director: Chris Graybeal Our Mission To promote and protect the environment, preserve natural resources, and ensure the health and safety of our customers. Granite Falls Wastewater Treatment

More information

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Maskless Nanowriter HR001-06-03-0008 Post- CMP Defectivity is one of the major factors affecting its

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information