Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems

Size: px
Start display at page:

Download "Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems"

Transcription

1 Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems Director EDA Alliance Management Base Technologies & Services Communication Solutions Infineon Technologies Page 1

2 Outline! Integrated Design System What is it Who develops it How is it being done! Cost of Integration! Technical Challenges! What is expected from EDA Industry moving forward! Summary and Conclusion Page 2

3 Integrated Design System System-Level Implementation (incl. RTL-Generation) Functional Verification Chip and Package Codesign AMS- Implementation IP/ Reuse RTL2GDS Implementation Test GDS to Mask Page 3 Libraries Design System Platform (kernel-based) Design Data

4 CAD Organizations within EDA Customers! Every IDM, Fabless Semi, System House owns CAD groups! Internal CAD groups are the System Integrators in an otherwise flat EDA marketplace Integrating various best-in-class tools into one integrated design system forming design flows to be used by design teams! Main focus for these CAD groups Fixing dataflow and interoperability issues (still) Establish correlation and convergence between different tools and their inherent timing algorithms to achieve rapid design closure with few ECOs Develop required accurate models Page 4 Establish application specific methodologies, use models and scripting for design flows

5 Cost of Integrated Design System Development! Significant expenditure by EDA customers for integration of EDA tools into an integrated design system $2 for every $1 spent on EDA tool (Si EDA Cost of Ownership Study) Breakdown is unclear, assume 1:1 for argumentation! Mostly headcount related expenditure! $3B EDA license business p.a. " $3B additional, internal spending in IC industry With $150k per headcount " CAD engineers worldwide! Compare this with the number of EDA R&D engineers EDAC reports employees (Q1 2006) Page 5 Ca. 50% = within EDA companies worldwide (own estimate)

6 Correlation and Convergence Technical Challenges Page 6! Today multiple tools with different (heuristic) algorithms for timing, power, other criteria used during design implementation and validation! High efforts to establish correlation and convergence between different tools as well as silicon measurements to ensure rapid design closure within known and acceptable accuracy boundaries! Accurate models to reflect silicon performance are required, interpretation of models by EDA tools must be consistent Multiple formats currently in development: CCS, ECSM, Liberty, OMC! Need to work with competing vendors to improve correlation and convergence! Problem is on their side! This is an increasing effort moving below 90nm! To solve or avoid this effectively, implementing a design flow has to be done differently than before

7 Integrated Design System (not so distant) Future System-Level Implementation (incl. RTL-Generation) Functional Verification Chip and Package Codesign AMS- Implementation IP/ Reuse RTL2GDS Implementation Test GDS to Mask Page 7 Libraries Design System Platform (kernel-based) Design Data

8 EDA Vendors start to realize that Changes are required Ted Vucurevich [ ] outlined a next-generation EDA architecture [ ], and said that CAD is heading towards a new business ecosystem in which vendors will be responsible for integration. [ ] EETimes: 04/11/2006 "Taking that responsibility [of integration] of the flow and putting it together is going to be very, very important for EDA companies, [ ] "If that integration is left to the customer, I think that we have failed the customer," [Rajeev] Madhavan said. EETimes: 04/12/2006 Page 8

9 Summary and Conclusion Page 9! All EDA customers own design system integrators (a.k.a. CAD groups), EDA vendors provide tools (+ services) Integration is costly Interoperability is just half the story! Efforts to establish correlation and convergence between tools from different vendors are increasing! Design systems will be partitioned into integrated subflows from a single vendor (plus small 3 rd parties) Higher level of integration with need for increased quality Responsibility for correlation, convergence and rapid design closure at EDA partner! Internal CAD budgets are being challenged! EDA industry tries to increase revenue " Opportunities for revolutionary partnership and business models

10 Page 10 Never stop thinking.

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

VHDL Introduction. EL 310 Erkay Savaş Sabancı University VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored

More information

Design API Coalition (DAPIC) Don Cottrell

Design API Coalition (DAPIC) Don Cottrell Design API Coalition (DAPIC) Don Cottrell cottrell@si2.org 1 EDA Challenges The Big Coping with the Large The Bad Managing the Diverse The Ugly Harnessing the Physics of Small 2 EDA Needs Density Analysis

More information

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing Nangate 45nm Open Cell Library Jesper Knudsen VP Marketing 12 th Si2/OpenAccess+ Conference, April 16 th, 2008 Presentation Outline Why did Nangate release an Open Cell Library? Why is Library control

More information

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597 2007 IEEE International Conference on Robotics and Automation Roma, Italy, 10-14 April 2007 WeE10.4 Jonathan, Chang Yung-Cheng Member, IEEE Institute of Manufacturing Engineering National Cheng Kung University

More information

Mentor Graphics Higher Education Program

Mentor Graphics Higher Education Program Mentor Graphics Higher Education Program Infrastructures for Education EWME Panel Session 5/30/08 Ian Burgess Design for Globalization 2 A Simplified View of the EDA Market Concept to Verified RTL Functional

More information

Address system-on-chip development challenges with enterprise verification management.

Address system-on-chip development challenges with enterprise verification management. Enterprise verification management solutions White paper September 2009 Address system-on-chip development challenges with enterprise verification management. Page 2 Contents 2 Introduction 3 Building

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

Transportation Payments

Transportation Payments Transportation Payments Paul Legacki Chip Card & Security (CCS) February 25, 2009 Infineon at a Glance The Company Infineon provides semiconductor and system solutions, focusing on three central needs

More information

Best of Breed Solutions Can Click for Collaboration

Best of Breed Solutions Can Click for Collaboration WHITE PAPER Best of Breed Solutions Can Click for Collaboration Best of Breed Solutions Can Click for Collaboration PAGE 1 Table of Contents 1. Best of Breed Solutions Can Click for Collaboration... 2.

More information

Design Virtualization and Its Impact on SoC Design

Design Virtualization and Its Impact on SoC Design Design Virtualization and Its Impact on SoC Design Advanced SoC projects present the designer with a large number of options for technology, IP, foundation libraries, memory and operating conditions. Finding

More information

Global Headquarters: 5 Speen Street Framingham, MA USA P F

Global Headquarters: 5 Speen Street Framingham, MA USA P F Global Headquarters: 5 Speen Street Framingham, MA 01701 USA P.508.872.8200 F.508.935.4015 www.idc.com WHITE PAPER Why Linux Is Good for ISVs Sponsored by: Red Hat and Intel Julie Tiley August 2005 IDC

More information

Steve Chin, Stretch, Inc.

Steve Chin, Stretch, Inc. Improving Team Productivity through Design Data Management in ICstudio Steve Chin, Stretch, Inc. Karim Khalfan, ClioSoft, Inc. Stretch is a fabless semiconductor company that provides software-configurable

More information

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS AccuCell Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS Who Buys Cell Characterization Software? Commercial Libraries Users Who Do Not Have In-house Characterization Tool Characterize Different

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

GUIDEBOOK ADAPTIVE INSIGHTS

GUIDEBOOK ADAPTIVE INSIGHTS GUIDEBOOK ADAPTIVE INSIGHTS December 2013 July 2013 Document NX THE BOTTOM LINE Although corporate performance management (CPM) solutions have been in the market for some time, a new set of vendors such

More information

IC Integrated Manufacturing Outsourcing Solution

IC Integrated Manufacturing Outsourcing Solution IC Integrated Manufacturing Outsourcing Solution Integrated One-Stop Service Mature and Low Cost Loop for IC Manufacturing Taiwan s Comprehensive Resources Fast and Easy Engagement to Our Solution Professional

More information

Test and Verification Solutions. Resistance is Futile: Learning to love UVM! Experts In Verification

Test and Verification Solutions. Resistance is Futile: Learning to love UVM! Experts In Verification Test and Verification Solutions Resistance is Futile: Learning to love UVM! Experts In Verification The Verification Challenge Effort Spent On Verification Trend in the percentage of total project time

More information

ARTEMIS Innovation: A Strategy in Practice

ARTEMIS Innovation: A Strategy in Practice ARTEMIS Innovation: A Strategy in Practice Dr. Helen Finch External Funding Manager Infineon UK 28th February 2012, Spring Event, Nuremberg ARTEMIS ARTEMIS Joint Joint Undertaking Undertaking ARTEMIS Programme

More information

Compliance driven Integrated circuit development based on ISO26262

Compliance driven Integrated circuit development based on ISO26262 Compliance driven Integrated circuit development based on ISO26262 Haridas Vilakathara Manikantan panchapakesan NXP Semiconductors, Bangalore Accellera Systems Initiative 1 Outline Functional safety basic

More information

Building a. Digital Marketplace of Commercially Ready Services

Building a. Digital Marketplace of Commercially Ready Services Building a Digital Marketplace of Commercially Ready Services Getting Started Many service providers have focused their virtualization efforts initially on the high growth B2B market as they strive to

More information

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

COVENTOR PREDICTING ACTUAL FROM VIRTUAL COVENTOR PREDICTING ACTUAL FROM VIRTUAL Virtual Fabrication Changing the Trajectory of Chip Manufacturing Sandy Wen Semiconductor Process & Integration July 12, 2017 AT A GLANCE MARKET LEADER in 3D modeling

More information

21 st CENTURY GLOBAL ENGINEERING GLOBAL SCALE VALUE HCL ERS SEMICONDUCTOR ENGINEERING SERVICES

21 st CENTURY GLOBAL ENGINEERING GLOBAL SCALE VALUE HCL ERS SEMICONDUCTOR ENGINEERING SERVICES 21 st CENTURY GLOBAL ENGINEERING GLOBAL SCALE VALUE HCL ERS SEMICONDUCTOR ENGINEERING SERVICES Customers include 6 out of the top 10 Semi OEMs 14+ years & 5000+ person years engineering experience with

More information

ALLEGRO PCB LIBRARIAN 610

ALLEGRO PCB LIBRARIAN 610 DATASHEET ALLEGRO PCB LIBRARIAN 610 AUTOMATED LIBRARY PART CREATION, VALIDATION, AND MANAGEMENT Cadence Allegro PCB Librarian 610, a 600 series product within the Allegro system interconnect design platform,

More information

Unlocking Enterprise Architecture Information for Maximum Business Value

Unlocking Enterprise Architecture Information for Maximum Business Value Unlocking Enterprise Architecture Information for Maximum Business Value The Open Group EA Practitioners Conference 5 September 2013 Belinda Fivaz The Reality platform No data sharing platform The Challenge

More information

Smart Manufacturing in the Semiconductor Industry - Realizing the Digital Factory Vision

Smart Manufacturing in the Semiconductor Industry - Realizing the Digital Factory Vision Smart Manufacturing in the Semiconductor Industry - Realizing the Digital Factory Vision David Shen, Executive Director, Electronics & Semiconductor Restricted Siemens AG 2017 Realize innovation. Semiconductor

More information

Session Cloud and BPM Opportunity or Insanity? Find me on Linkedin.com!

Session Cloud and BPM Opportunity or Insanity? Find me on Linkedin.com! Session 11993 Cloud and BPM Opportunity or Insanity? Diana.Donnellan@gmail.com Find me on Linkedin.com! Abstract How do you run all or part of your business on systems you don't control? As compelling

More information

DFM Challenges and Practical Solutions in 65nm and 45nm

DFM Challenges and Practical Solutions in 65nm and 45nm DFM Challenges and Practical Solutions in 65nm and 45nm NS Nagaraj, Michael Smayling, Ban P. Wong, INTRODUCTION UCSD and Blaze DFM, Inc. abk@ucsd.edu http://vlsicad.ucsd.edu/ Agenda 0930-1000 Introduction

More information

Partner Choice for Cloud Success

Partner Choice for Cloud Success Partner Choice for Cloud Success What IT Solution Providers Need to Know about the Value of Microsoft s CSP Licensing Program and the Choice of Relationship Models An IDC ebook, Sponsored by Microsoft

More information

APTA Fare Collection Workshop 2011 Miami, FL. Paul Legacki Group Manager Business Development Infineon Technologies US

APTA Fare Collection Workshop 2011 Miami, FL. Paul Legacki Group Manager Business Development Infineon Technologies US APTA Fare Collection Workshop 2011 Miami, FL Paul Legacki Group Manager Business Development Infineon Technologies US What is needed in 21st-century Fare Collection? What are the hindering factors? Transport

More information

Verifying High Speed Peripheral IPs by Sreekanth Ravindran and Chakravarthi M.G., Mobiveil

Verifying High Speed Peripheral IPs by Sreekanth Ravindran and Chakravarthi M.G., Mobiveil Verifying High Speed Peripheral IPs by Sreekanth Ravindran and Chakravarthi M.G., Mobiveil Abstract High speed serial interconnect bus fabric is the SoC backbone, managing dataflow and keeping up with

More information

Interview Study on Federated Digital Services Brokering

Interview Study on Federated Digital Services Brokering VTT TECHNICAL RESEARCH CENTRE OF FINLAND LTD Interview Study on Federated Digital Services Brokering Obstacles, Role, Requirements and Opportunities Results Presentation Daniel Pakkala, Leila Saari, Tommi

More information

Good things come in small packages, We help good become better SEMICONDUCTORS HCL ENGINEERING AND R&D SERVICES

Good things come in small packages, We help good become better SEMICONDUCTORS HCL ENGINEERING AND R&D SERVICES Good things come in small packages, We help good become better SEMICONDUCTORS HCL ENGINEERING AND R&D SERVICES The Environment Today The cyclical nature of the semiconductor industry is proving to be

More information

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration To enhance the level of disclosure we provide and help investors gain better insight into our business, we are providing investors the following financial information: Page Table Description 2 4 1 Revenue

More information

Business Model Innovation

Business Model Innovation Business Model Innovation à Platform Canvas Kimmo Karhu (kimmo.karhu@aalto.fi) Postdoctoral Researcher Aalto University Business model innovation plays a key role in capturing value of a product or technology

More information

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Herb Reiter eda 2 asic Consulting, Inc. IMAPS, Oct 9 12 & MEPTEC, Nov 13, 2017 Herb@eda2asic.com IMAPS 50 th

More information

OwnZones Media Network Business Plan Summary

OwnZones Media Network Business Plan Summary OwnZones Media Network Business Plan Summary Company and capitalization Company founded January, 2010 Standard C corporation chartered in Washington State Offering up to 10,000 shares common stock A-Series

More information

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM

Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM Does ESL have a role in Verification? Nick Gatherer Engineering Manager Processor Division ARM 1 Key Trends A typical verification challenge... big.little heterogeneous multicore APPS APPS Increasing complexity

More information

Towards Renesas Future Growth

Towards Renesas Future Growth Towards Renesas Future Growth Renesas Electronics Corporation October 30, 2015 Enhance Renesas Value to Support Customer Competitiveness Enforce devices and technologies that underpin the evolution of

More information

NI AWR Design Environment

NI AWR Design Environment RF/Microwave EDA Software Suite ni.com/awr RF/Microwave EDA Software Suite Capabilities is an EDA software suite that provides RF/ microwave engineers with access to innovative high-frequency circuit,

More information

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor Hot Chips-18 Design of a Reusable 1GHz, Superscalar ARM Processor Stephen Hill Consulting Engineer ARM - Austin Design Centre 22 August 2006 1 Outline Overview of Cortex -A8 (Tiger) processor What is reusability

More information

High Performance Latch based Design: Optimization and Timing Verification Challenges

High Performance Latch based Design: Optimization and Timing Verification Challenges High Performance Latch based Design: Optimization and Timing Verification Challenges Kumar Subramanian KS Ramesh Product Engineering Group Intel Corporation March 11 2016 Outline Processor Design Landscape

More information

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Rakesh Kumar, Brian Henderson Technology Connexions San Diego, CA rakesh@tcxinc.com, brianh@tcxinc.com Emerging Fabless

More information

Benchmarking Functional Verification by Mike Bartley and Mike Benjamin, Test and Verification Solutions

Benchmarking Functional Verification by Mike Bartley and Mike Benjamin, Test and Verification Solutions Benchmarking Functional Verification by Mike Bartley and Mike Benjamin, Test and Verification Solutions 36 Introduction This article describes asuremark - the Functional verification Capability Maturity

More information

Huawei Technologies, Inc.

Huawei Technologies, Inc. Huawei Technologies, Inc. Looking for the best talents to build the best products Founded in 1987 as an employee-owned private company with headquarter in Shenzhen, China, Huawei becomes a leading global

More information

Siemens PLM Software. Optimizing semiconductor device innovation practices and processes. Realize innovation. siemens.com/plm

Siemens PLM Software. Optimizing semiconductor device innovation practices and processes. Realize innovation. siemens.com/plm Siemens PLM Software Optimizing semiconductor device innovation practices and processes Realize innovation. siemens.com/plm If you examine what SOC-design teams are doing, you ll find that creating an

More information

Overview and Frequently Asked Questions

Overview and Frequently Asked Questions Overview and Frequently Asked Questions OVERVIEW On April 20, 2009, Oracle announced that it has agreed to acquire Sun Microsystems. The transaction is subject to regulatory approval and until such time

More information

EDA Growth Dips Again: 3Q03 Global Forecast Update

EDA Growth Dips Again: 3Q03 Global Forecast Update Forecast Analysis EDA Growth Dips Again: 3Q03 Global Forecast Update Abstract: The electronic design automation market has taken another downturn during the first half of 2003. An improved second half

More information

Creating value through the digital energy (r)evolution

Creating value through the digital energy (r)evolution Creating value through the digital energy (r)evolution Creating value through the digital energy (r)evolution The future for energy providers will not be about a single product, process, service or solution.

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

OpenADx xcelerate your Autonomous Driving development. Andreas Riexinger Robert Bosch GmbH Product Manager Automated Driving

OpenADx xcelerate your Autonomous Driving development. Andreas Riexinger Robert Bosch GmbH Product Manager Automated Driving OpenADx xcelerate your Autonomous Driving development Andreas Riexinger Robert Bosch GmbH Product Manager Automated Driving Who am I? Product Manager Automated Driving ~15 years experience in embedded

More information

SEMICONDUCTORS LEADING IN THE NEW

SEMICONDUCTORS LEADING IN THE NEW SEMICONDUCTORS LEADING IN THE NEW The rapid development of the semiconductor market over the last 20 years has been characterized by major changes in customer demands. From the PC boom to smartphones,

More information

IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing. WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group)

IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing. WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group) IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group) Table of Contents - Company Information - Industry Revolution and Status

More information

Philip Simpson. FPGA Design. Best Practices for Team-based Design

Philip Simpson. FPGA Design. Best Practices for Team-based Design FPGA Design 5 Philip Simpson FPGA Design Best Practices for Team-based Design Philip Simpson Altera Corporation San Jose, CA 95134 USA Feilmidh@sbcglobal.net ISBN 978-1-4419-6338-3 e-isbn 978-1-4419-6339-0

More information

GLOBAL FUNCTIONALITY. CENTRAL CONTROL.

GLOBAL FUNCTIONALITY. CENTRAL CONTROL. v1.2455 MC/CPS Multinatl BRO 4/6/2002 1:04 AM Page 1 GLOBAL FUNCTIONALITY. CENTRAL CONTROL. Customized Multinational Corporate Programs v1.2455 MC/CPS Multinatl BRO 4/6/2002 1:05 AM Page 2 THE solution

More information

LEADING IN THE LEADING IN THE NEW SEMICONDUCTORS

LEADING IN THE LEADING IN THE NEW SEMICONDUCTORS LEADING IN THE LEADING IN THE NEW SEMICONDUCTORS SEMICONDUCTORS The rapid development of the semiconductor market over the last 20 years has been characterized by major changes in customer demands. From

More information

Infor Open SOA: Architecture Enablement. white paper

Infor Open SOA: Architecture Enablement. white paper Infor Open SOA: Delivering Greater Business Agility Through Service-Oriented Architecture Enablement white paper TABLE OF CONTENTS: PAGE Executive Summary...3 Service-Oriented Architecture: An Overview...4

More information

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster Virtualizer ``Overview ``The Impact of ``What are Virtual Prototypes? ``Competitive Electronic Products Faster ``Use Virtual Prototyping from Specification to Deployment ``Virtualizer Technical Specification

More information

PLM Today and Tomorrow: How High Tech Companies Can Gain Profitable Innovation Through Product Lifecycle Management

PLM Today and Tomorrow: How High Tech Companies Can Gain Profitable Innovation Through Product Lifecycle Management PLM Today and Tomorrow: How High Tech Companies Can Gain Profitable Innovation Through Product Lifecycle Management A Product Lifecycle Management Whitepaper Abstract The next generation s successful tech

More information

Understanding Transit Fare Collection & Revenue Management Walt Bonneau Jr.

Understanding Transit Fare Collection & Revenue Management Walt Bonneau Jr. Understanding Transit Fare Collection & Revenue Management -2016 Walt Bonneau Jr. ALINC Consulting Representing Infineon Technologies Principal Consultant Daly City, CA 1 Fare collection continues to be

More information

Communication Technologies can be complex, We simplify them... TELECOM & NETWORKING HCL ENGINEERING AND R&D SERVICES

Communication Technologies can be complex, We simplify them... TELECOM & NETWORKING HCL ENGINEERING AND R&D SERVICES Communication Technologies can be complex, We simplify them... TELECOM & NETWORKING HCL ENGINEERING AND R&D SERVICES Industry Outlook Networking players, telecom operators and communications providers

More information

Increasing challenges for size and cost reduction,

Increasing challenges for size and cost reduction, Packageon-Package: The Story Behind This Industry Hit Package-onpackage (PoP) technology is rapidly evolving to keep pace with the demand for faster, higherdensity devices in smaller, thinner stacks. As

More information

2017 United States Public Safety Solutions Company of the Year Award

2017 United States Public Safety Solutions Company of the Year Award 2017 United States Public Safety Solutions Company of the Year Award Contents Background and Company Performance... 3 Industry Challenges... 3 Visionary Innovation & Performance and Customer Impact...

More information

Energy Efficiency as a Resource Time for Action

Energy Efficiency as a Resource Time for Action Energy Efficiency as a Resource Time for Action Kathleen Hogan Director Climate Protection Partnerships Division U.S. Environmental Protection Agency American Council for an Energy-Efficient Economy Energy

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

Smart Manufacturing: Convergence, Co-Design & Co-Optimization Improve Performance, Sustainability and Yield across Microelectronics Supply-Chain

Smart Manufacturing: Convergence, Co-Design & Co-Optimization Improve Performance, Sustainability and Yield across Microelectronics Supply-Chain Smart Manufacturing: Convergence, Co-Design & Co-Optimization Improve Performance, Sustainability and Yield across Microelectronics Supply-Chain Tom Salmon VP, Collaborative Technology Platforms SEMI Talking

More information

MoSys Corporate Overview

MoSys Corporate Overview MoSys Corporate Overview Chet Silvestri May 1, 2006 2006 Monolithic Systems Technology, Inc. Safe Harbor Statement This presentation may contain forward-looking statements about the Company including,

More information

Integrated solutions for the telecommunication industry October Telecoms take network transformation to a higher plane

Integrated solutions for the telecommunication industry October Telecoms take network transformation to a higher plane Integrated solutions for the telecommunication industry October 2004 Telecoms take network transformation to a higher plane Page 2 Contents 2 Introduction 3 Maximizing value, minimizing complexity 5 Leveraging

More information

IC Compiler Comprehensive Place and Route System

IC Compiler Comprehensive Place and Route System Datasheet IC Compiler Comprehensive Place and Route System Overview IC Compiler is the leading place and route system. A single, convergent, chiplevel physical implementation tool, it includes flat and

More information

IBM Fundamentals of Ent Solutions Using IBM Tivoli Security Download Full Version :

IBM Fundamentals of Ent Solutions Using IBM Tivoli Security Download Full Version : IBM 000-889 Fundamentals of Ent Solutions Using IBM Tivoli Security 2007 Download Full Version : http://killexams.com/pass4sure/exam-detail/000-889 access controls D. secure authentication between the

More information

Strategically Solving the DX Talent Gap with Third-Party Services

Strategically Solving the DX Talent Gap with Third-Party Services White Paper Strategically Solving the DX Talent Gap with Third-Party Services Sponsored by: Cisco Leslie Rosenberg Chris Barnard October 2017 Shawn Fitzgerald IDC OPINION Companies, across all sectors,

More information

The Way Forward for IC Design. October 1, 2014

The Way Forward for IC Design. October 1, 2014 The Way Forward for IC Design October 1, 2014 Today s Speakers and Agenda Introduction Randy Smith, VP Marketing, Sonics, Inc. The Software Development Perspective on Agile Davis Frank, Director of Internal

More information

TLM-Driven Design and Verification Time For a Methodology Shift

TLM-Driven Design and Verification Time For a Methodology Shift TLM-Driven Design and Time For a Methodology Shift By Jack Erickson, Cadence Design Systems, Inc. Transaction level modeling (TLM) is gaining favor over register-transfer level () for design components

More information

A W I P R O W H I T E PA P E R

A W I P R O W H I T E PA P E R A W I P R O W H I T E PA P E R GREENING TEST LABS: By Kathleen Goolsby Introduction Virtualization and green IT initiatives are a fundamental change in the way companies do business. As they enable using

More information

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance White Paper Rethinking SoC Verification Enabling Next-Generation Productivity & Performance March 214 Rebecca Lipon Senior Product Marketing Manager, Synopsys Introduction The introduction of the iphone

More information

Cloud Strategy Workshops

Cloud Strategy Workshops Cloud Strategy Workshops Overview of Info-Tech s Service Offering Info-Tech Research Group 1 Table of Contents 1. Executive Summary 2. An Introduction to the Cloud 3. Info-Tech s Cloud Strategy Workshops

More information

Liberty Alliance Project: Impact on Web Services Application Architectures

Liberty Alliance Project: Impact on Web Services Application Architectures Liberty Alliance Project: Impact on Web Services Application Architectures Jason Rouault/Hewlett-Packard Chairman, Liberty Alliance Technology Expert Group Today s Agenda Business Problem faced by Architects

More information

Measures Toward Recovery

Measures Toward Recovery Measures Toward Recovery Toshio Nakajima President and CEO NEC Electronics Corporation February 22, 2007 http://www.necel.com/ir/en/ Revised Forecasts for FY07/3 JPY Bn Net Sales Operating Income (Loss)

More information

ASDEN: A Comprehensive Design Framework Vision for Automotive Electronic Control Systems

ASDEN: A Comprehensive Design Framework Vision for Automotive Electronic Control Systems ASDEN: A Comprehensive Design Framework Vision for Automotive Electronic Control s Deborah Wilson JRS Research Laboratories Inc. 2300 East Katella Avenue, Suite 300 Anaheim, California 92806-6048 Telephone

More information

Achieving Best-in-Class Financial Management

Achieving Best-in-Class Financial Management Best Practices for Choosing the Right Accounting Software: Achieving Best-in-Class Financial Management Get Started It starts innocently enough. You need a report your current accounting system can t deliver,

More information

Multisource Management in the Cloud Age Keys to MSI and SIAM success in Hybrid IT environments

Multisource Management in the Cloud Age Keys to MSI and SIAM success in Hybrid IT environments WHITE PAPER Multisource Management in the Cloud Age Keys to MSI and SIAM success in Hybrid IT environments IT delivery has been evolving from a traditional on-premises model to a hybrid model using diverse

More information

FIN Best Practices in Telecom Billing & Fraud Management

FIN Best Practices in Telecom Billing & Fraud Management FIN-121 - Best Practices in Telecom Billing & Fraud Management Description A 5-day training course integrating theoretical presentations, exercises, case studies and workshops that will: Guide the participants

More information

We re not just good on paper.

We re not just good on paper. IT Services We re not just good on paper. You might know us as a print company. That s only part of the story. We re big on IT too, with products and services that can help your business thrive. ricoh.co.uk

More information

COLLABORATING TO MAXIMIZE INTEROPERABILITY IN AN OPEN SOURCE SOFTWARE PROJECT

COLLABORATING TO MAXIMIZE INTEROPERABILITY IN AN OPEN SOURCE SOFTWARE PROJECT COLLABORATING TO MAXIMIZE INTEROPERABILITY IN AN OPEN SOURCE SOFTWARE PROJECT A platform for connecting communities and sharing solutions across the manufacturing product life cycle Jim Barkley Director

More information

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering Realizing the Full Potential of Design Automation Steve Breit, Ph.D., V.P. Engineering MEPTEC Symposium 2012 Coventor Overview Founded in 1996 with a focus on software for design and simulation Management

More information

SOA S Fundamental SOA & Service-Oriented Computing. Download Full Version :

SOA S Fundamental SOA & Service-Oriented Computing. Download Full Version : SOA S90-01 Fundamental SOA & Service-Oriented Computing Download Full Version : https://killexams.com/pass4sure/exam-detail/s90-01 services that can provide the logic required to automate the new business

More information

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on?

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on? DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on? Jason Cong Chancellor s s Professor UCLA Computer Science Department cong@cs.ucla.edu Chief Technology Advisor AutoESL Design

More information

Managed Testing Services

Managed Testing Services Managed Testing Services Technology and Innovation for Banking and Finance Conference Sydney 5th Dec 2006 Arun Kumar Singh GM TeS Wipro Technologies Distributed Test Delivery Model Company XYZ Business

More information

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES Innovation Simplified HCL offers domain-specific hardware engineering and design services in VLSI ASIC, FPGA, and SoC engineering, board design,

More information

Service Oriented Architecture for Smart Oil and Gas Operations. by Arnt Vegard Espeland

Service Oriented Architecture for Smart Oil and Gas Operations. by Arnt Vegard Espeland Energy, Utilities and Chemicals the way we see it Service Oriented Architecture for Smart Oil and Gas Operations by Arnt Vegard Espeland The overarching vision of Smart Operations (SOP) is to improve efficiency

More information

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics Until recently, the semiconductor industry religiously

More information

Codex of PLM Openness

Codex of PLM Openness Codex of PLM Openness Windchill Self-Assessment PTC is committed to PLM openness. In addition to acknowledging the value of openness to our customers, we view it as a competitive advantage. We recognize

More information

E-Guide WHEN IS ERP CONSOLIDATION THE RIGHT MOVE?

E-Guide WHEN IS ERP CONSOLIDATION THE RIGHT MOVE? E-Guide WHEN IS ERP CONSOLIDATION THE RIGHT MOVE? M any manufacturers end up with multiple ERP systems for one reason or another, whether it s a result of mergers and acquisitions or a matter of geographical

More information

Reuse Concepts. Portable. Reuse. Easily modifying a product as a whole to run under another compiler, OS, or hardware architecture

Reuse Concepts. Portable. Reuse. Easily modifying a product as a whole to run under another compiler, OS, or hardware architecture Reusability, Portability, and Interoperability If reinventing the wheel were a criminal offense, many software professionals would be languishing in jail [Schach 2001] 1 Reuse Concepts Portable Easily

More information

KEY FINDINGS. PSM are major drivers for the European content industry. PSM invest heavily in audiovisual content

KEY FINDINGS. PSM are major drivers for the European content industry. PSM invest heavily in audiovisual content DCE/17/11.IGC/6REV page 48 KEY FINDINGS Through their huge and continuous investment in the European audiovisual content industry, public service media make a vital contribution to society. PSM invest

More information

Open Data. and the global enterprise. Thorsten Skalla

Open Data. and the global enterprise. Thorsten Skalla Open Data and the global enterprise Thorsten Skalla 20.05.2015 Open Data is right here, right now http://index.okfn.org/ This white background template is intended for print-based presentations, as it

More information

RESEARCH NOTE BENEFITS FROM THIRD-PARTY SUPPORT: RIMINI STREET

RESEARCH NOTE BENEFITS FROM THIRD-PARTY SUPPORT: RIMINI STREET Document K26 RESEARCH NOTE BENEFITS FROM THIRD-PARTY SUPPORT: RIMINI STREET THE BOTTOM LINE Organizations running mature SAP or Oracle applications experience significant ongoing savings from third-party

More information

FPGA - Based Technology and Systems for I&C of Existing and Advanced Reactors

FPGA - Based Technology and Systems for I&C of Existing and Advanced Reactors IAEA-CN-164-7S04 FPGA - Based Technology and Systems for I&C of Existing and Advanced Reactors E. Bachmach, O.Siora, V. Tokarev, S. Reshetytsky, V. Kharchenko, V. Bezsalyi Research-and-Production Corporation

More information

Virtualizer: Next-Generation Virtual Prototyping. Marc Serughetti Director Product Marketing Virtual Prototyping

Virtualizer: Next-Generation Virtual Prototyping. Marc Serughetti Director Product Marketing Virtual Prototyping Virtualizer: Next-Generation Virtual Prototyping Marc Serughetti Director Product Marketing Virtual Prototyping 1 Introducing Virtualizer: Next-Generation Virtual Prototyping Solution Accelerates software

More information

Prudential s Annual Silicon Valley Software Investor Tour. Gary Bloom. President & Chief Executive Officer VERITAS Software

Prudential s Annual Silicon Valley Software Investor Tour. Gary Bloom. President & Chief Executive Officer VERITAS Software Prudential s Annual Silicon Valley Software Investor Tour Gary Bloom President & Chief Executive Officer VERITAS Software Safe Harbor Statement The matters we will be discussing today, other than the historical

More information