TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

Size: px
Start display at page:

Download "TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd"

Transcription

1 ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC

2 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

3 3 Fabless Requirements Prior to Risk Production Phase: 1st Si success for design win Competitive Technology Selection Accurate SPICE Model High Quality IP Volume Production Phase: Gain MSS Fast Ramp and Reliable Supply Performance and Yield Improvement Ample Capacity

4 4 Design Enablement for 1 st Si Success Fabless Process for Product NTO Product Spec. Definition Close Collaboration between Fabless and Foundry Foundry Support to Facilitate Product Success Technology Selection Comprehensive Process Offerings Library buildup DRM (RDR) Insertion Post-sim., & Timing Analysis DFM & Accurate SPICE IP Validation IP Quality Management System & Cybershuttle Product Tape-out One Stop Shopping w/ Mask, Si, and Backend

5 5 TSMC CMOS Technology Platforms <20nm FinFET 20nm 28nm 2P2E; M0 HK/MG Low-R 40nm 65/55nm 90/80nm ESF3 Automotive Immersion Cu/ELK Strained Silicon 12 BSI 0.13/0.11µm Cu/LK 8 BSI 0.18/0.15µm 0.25µm ESF1 Automotive 0.35µm >0.5µm Expanding Functionality Expanding Functionality MEMS Embedded Flash (MCU) Embedded DRAM Analog Logic Available RF Planned Power IC- BCD High Voltage CMOS Image Sensor For reference only; Subject to change without notice by TSMC

6 6 Challenges for 1 st Si Success Particularly in Advanced Technology Nodes SPICE model accuracy Variation increases with technology scaling Device structure innovation to reduce random variations RDR (restrictive design rule ) and DFM (design for manufacturing) to reduce layout style related variations IP quality assurance IP quality is one of the key concerns for customers IP quality management system to allow easy access of high quality IPs CyberShuttle support to validate key IPs with Si

7 7 Technology Scaling Larger Variation; Smaller Manufacturing Window Less design margin to start with NMOS Ion/Ioff Plot NMOS Ion/Ioff Plot Isoff Isoff Isat_SS (model) Idsat Isat_FF (model) Idsat 90nm, 65nm, 40nm, 28nm, 20nm,

8 8 Random Variation Reduction Device Structure 35 Vt(au.) Planar SiON/Poly Planar HK/MG σv t T 4 ox W N a L σ 10 FinFET Scaling

9 9 Restrictive Design Rules (RDR) Reduce Layout Style Related Variability 28nm RDR Style OD PO OD PO OD PO PO OD PO PO OD PO Process Variation 65nm SiON 40nm SiON 28SiON No RDR 28HKMG No RDR 28HKMG RDR

10 10 DFM Solutions for Product Performance DFM-rule should be used to improve product performance Disallow J Table 1: Idsat variation as a function of J OD Ploy The effective OD width could vary because of the OD rounding effect when the poly to OD spacing is small

11 11 SPICE Modeling Limitation Layout pattern complexity increases SPICE model simulation variability RDR and DFM significantly reduce simulation vs silicon gap x% 0% Simulation vs Silicon Gap Restricted Layout -x% Layout Patterns Style

12 12 Major Challenges in IP Usage Source : IC Manage global design management survey April, 2011 Quality Is Key Concern

13 13 IP Quality Management System Robust IP Quality Check/Management to Lower IP Usage Risk Ecosystem IP DRC/LVS ESD Silicon Report TSMC-Online TM DDR USB SATA DSP SRAM DAC TSMC IP QA System Customer Final Design Data Tape Out Consistency Checks Tape Out ADC Others LVDS MCU IP Dev. Production History Design Margin Data Consistency IP Quality Check IP Quality Score & Usage IP Tag Check for Tape Out Quality

14 DUT6_STD_2C-PR DUT12_STD_DM 14 LC Tank Model=> Accuracy and Schedule Request from high Speed SerDes and PLL PDK with P-cell for Varactor and FMOM Customized Inductor For design Q-Factor frequency (GHz) Customers Successful Design Generate Layout and Tape out System simulation In Customer site

15 15 HKMG Analog Layout Guidance HKMG layout considerations for sensitive analog blocks Device mismatch induced by gate density Gaps between simulation and silicon Foundry & EDA collaborated solutions based on HKMG layout guidance in sensitive regions Surrounding patterning and gradient insertion feature Gradient density analysis tool Verification tool to check against golden data

16 16 CyberShuttle for IP Validation TSMC CyberShuttle has successfully verified more than 12,000 devices

17 17 First Silicon Success TSMC optimizes technology and manufacturing to deliver high first silicon success rate 100.0% TSMC NTO Success Rate 99.8% 99.6% 99.4% 99.2% 99.0% 98.8%

18 18 Manufacturing Excellence to Serve Customer Demand Giga fab and integrated mask-wafer-backend service drive fast ramping and reliable supply Persistent technology optimization to improve performance and yield Continue in Capex investment to support required capacity

19 19 Benefits of GIGAFAB GIGAFAB manufacturing scale improves ramping speed and delivery precision control Effective Capacity Ramp-up Agility Fast Cycle time GIGAFAB P1 P2 P3 P4 Delivery Precision Cost Effectiveness

20 20 Synchronization Drives Manufacturing Efficiencies & Fast Time to Market Increase production efficiencies Centralized production control and Integrated CIM Assure fast time-to-market Customer GDS Design Service Mask Making/ OPC Wafer Fabrication Backend Service Packaged Components Manufacturing Synchronization

21 21 Cycle Time Improvement Cycle time is one of the keys to enable fast time to market Days/Layer 1

22 22 Productization for Advanced Nodes Cost and complexity increase significantly with technology scaling Shortening product T/O to volume production enables better ROI for Foundry and Customers (Starting point: wafer output > 1K)

23 23 Optimizing Technology Processes for Better Yield and Performance Product Engineering Feed backward for faster yield learning Feed forward for higher yield Customer GDS Design Service Mask Making/ OPC Wafer Fabrication Backend Service Packaged Components Technology Optimization

24 24 D 0 Improvement Drive D 0 reduction relentlessly even facing increased technology complexity

25 25 Product Grade Improvement 28nm Customer collaboration achieves continuous speed and power improvements through process/device optimization Product grade improvement methodology keeps customer s products competitive 28HP Speed improvement 28LP IDDQ IDDQ reduction IDDQ IDDQ reduction Speed Speed

26 26 Synergy of Mask & Wafer Technology Mask and wafer process technologies are developed together for optimized result Synergistically developing OPC, mask and silicon processes ensures tape out success and high yields Feedback & Iteration for Optimized Result GDS OPC* Mask Wafer Making Printing Yield Test Production * OPC : Optical Proximity Correction

27 27 Integrated Si and Backend Service Si foundry with integrated bump and CP service, extendable to turnkey, offer customers: Simplified supply chain without bump capacity matching issue Consistent bump and test quality Shortened cycle time OSAT A Bump Si Wafer Foundry OSAT B Bump OSAT C Bump Integrated Wafer, Bump, & CP Service Wafer Bump OSAT CP OSAT CP CP OSAT A Ass y+ft OSAT B Ass y+ft OSAT C Ass y+ft OSAT A Ass y+ft OSAT B Ass y+ft OSAT C Ass y+ft

28 28 Integrated Manufacturing Value Faster Responsiveness Higher Product Grade Better Quality One-stop Ownership Customer GDS Design Service Mask Making/ OPC Wafer Fabrication Backend Service Packaged Components Integrated Manufacturing One- Stop Ownership

29 29 Summary Bridging the Fabless-Foundry gap to achieve timeto-market with the best performance/watt/cost Manufacturers and designers to work closer than before almost like IDM Early engagement on technology selection, structured layout/ RDR, and early Si validation of critical circuits Close collaboration in the development of circuits with performance/power optimization that adapt to manufacturing variation Collaboration should extend to production phase for high MSS Foundry Integrated Manufacturing Value fast responsiveness, higher product grades, better quality, and one-stop ownership

IC Integrated Manufacturing Outsourcing Solution

IC Integrated Manufacturing Outsourcing Solution IC Integrated Manufacturing Outsourcing Solution Integrated One-Stop Service Mature and Low Cost Loop for IC Manufacturing Taiwan s Comprehensive Resources Fast and Easy Engagement to Our Solution Professional

More information

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

COVENTOR PREDICTING ACTUAL FROM VIRTUAL COVENTOR PREDICTING ACTUAL FROM VIRTUAL Virtual Fabrication Changing the Trajectory of Chip Manufacturing Sandy Wen Semiconductor Process & Integration July 12, 2017 AT A GLANCE MARKET LEADER in 3D modeling

More information

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield International Cooperation Forum Automotive IC-Design Challenges Strategies Trends Munich, Germany, October 25, 2005 Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design

More information

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Herb Reiter eda 2 asic Consulting, Inc. IMAPS, Oct 9 12 & MEPTEC, Nov 13, 2017 Herb@eda2asic.com IMAPS 50 th

More information

DFM Challenges and Practical Solutions in 65nm and 45nm

DFM Challenges and Practical Solutions in 65nm and 45nm DFM Challenges and Practical Solutions in 65nm and 45nm NS Nagaraj, Michael Smayling, Ban P. Wong, INTRODUCTION UCSD and Blaze DFM, Inc. abk@ucsd.edu http://vlsicad.ucsd.edu/ Agenda 0930-1000 Introduction

More information

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013 The Role of Wafer Foundries in Next Generation Packaging David McCann, VP Packaging R+D May 28, 2013 Page 1 Solutions are Increasingly Silicon-Based RF moves from QFN to wlcsp Driven by footprint and cost

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Chapter 4 Case Study

Chapter 4 Case Study Liou 44 Chapter 4 Case Study Section 4.1: The Company Company A was established in 1987 as a pure play semiconductor foundry company. Company A started out with providing manufacturing services to fabless

More information

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest Escape prevention & RMA management Dan Glotter CEO & Founder OptimalTest Trends driving quality (1) -- Wafer level packaging -- (WLCSP WCSP WLP WLBGA) For the last few years new Wafer Level Packaging technology

More information

EDA Technologies Fueling IoT Implementation, Current and Future

EDA Technologies Fueling IoT Implementation, Current and Future EDA Technologies Fueling IoT Implementation, Current and Future Michael Thompson Internet of Things (IoT) Summit, RWW 2018 Anaheim, California January 14, 2018 IoT Standards/Applications 2 Industry Trends

More information

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597 2007 IEEE International Conference on Robotics and Automation Roma, Italy, 10-14 April 2007 WeE10.4 Jonathan, Chang Yung-Cheng Member, IEEE Institute of Manufacturing Engineering National Cheng Kung University

More information

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering Realizing the Full Potential of Design Automation Steve Breit, Ph.D., V.P. Engineering MEPTEC Symposium 2012 Coventor Overview Founded in 1996 with a focus on software for design and simulation Management

More information

Narrowing the Gap between Packaging and System

Narrowing the Gap between Packaging and System Narrowing the Gap between Packaging and System Meptec Symposium 2015 ASE (US) Inc Ou Li Nov 10 th, 2015 Outline Industry Dynamics The Need for System Integrators IC/Pkg/System Collaboration Summary 2 Market

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2016 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation March 2015 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation November 2015 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation August 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation May 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

LTX-Credence Investor Presentation

LTX-Credence Investor Presentation LTX-Credence Investor Presentation November, 2009 Safe Harbor for Forward-Looking Statements Statements in this presentation regarding the business and financial prospects of LTX-Credence, and the merger

More information

Graser User Conference Only

Graser User Conference Only 2.5D/3D Design Solution Eric Chen & Scott Liu 31/Oct/2014 Roadmap data is provided for informational purposes only and does not represent a commitment to deliver any of the features or functionality discussed

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division Analog Semiconductor Leaders Forum Dongbu HiTek s Analog Manufacturing Competitiveness Shaunna Black SVP Manufacturing Division Introduction Dongbu HiTek Manufacturing Division One of the Top 5 Semiconductor

More information

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC 2018 Strategic Cost and Price Model Scotten W, Jones President - IC Knowledge LLC sjones@icknowledge.com Overview IC Knowledge s flagship cost and price model. The only model of its kind in the industry.

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing Nangate 45nm Open Cell Library Jesper Knudsen VP Marketing 12 th Si2/OpenAccess+ Conference, April 16 th, 2008 Presentation Outline Why did Nangate release an Open Cell Library? Why is Library control

More information

High Volume Signal and Power Integrity Design for ASICs

High Volume Signal and Power Integrity Design for ASICs High Volume Signal and Power Integrity Design for ASICs Brian Young brian.young@ti.com Agenda Background SI Methodology Outline SI Numerical Example PI Methodology Outline PI Numerical Example Summary

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs Norman Chang, VP and Sr. Product Strategist 1 2012 ANSYS, Inc. August 31, 2012 Outline 3D-IC/Silicon Interposer

More information

July 11, Axcelis Technologies, Inc.

July 11, Axcelis Technologies, Inc. July 11, 2017 Axcelis Technologies, Inc. Safe Harbor Statement This presentation and discussion contain forward-looking statements, including our expectations for future revenues, expense reductions, profits,

More information

3DS IC International Standards SEMI 3D IC Standards Program

3DS IC International Standards SEMI 3D IC Standards Program Accelerating the next technology revolution 3DS IC International Standards SEMI 3D IC Standards Program Richard A. Allen NIST Assignee to 3D Enablement Center richard.allen@sematech.org May 8, 2012 Copyright

More information

How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017

How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017 How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017 Moderator: Heidi Hoffman, Sr. Director, SEMI-MSIG About MEMS & Sensors Industry

More information

Tensoft SemiOps. SaaS Solutions for the Semiconductor and Sensor Industries including IC and Module Businesses

Tensoft SemiOps. SaaS Solutions for the Semiconductor and Sensor Industries including IC and Module Businesses Tensoft SemiOps SaaS Solutions for the Semiconductor and Sensor Industries including IC and Module Businesses Tensoft SemiOps Cloud Platform 15+ Years of Experience in Semiconductor Industry Tensoft SemiOps

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems

Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems Director EDA Alliance Management Base Technologies & Services Communication Solutions Infineon Technologies

More information

Design Flow Architecture and Statistical Sizing Methods Integration in STMicroelectronics Non Volatile Memory and Automotive Flows.

Design Flow Architecture and Statistical Sizing Methods Integration in STMicroelectronics Non Volatile Memory and Automotive Flows. MunEDA User Group Meeting 2007 NVM AMS Flows & Methods Manager Design Flow Architecture and Statistical Sizing Methods Integration in Non Volatile Memory and Automotive Flows NVM AMS Flows & Methods Manager

More information

Alternatives to Vertical Probing

Alternatives to Vertical Probing Alternatives to Vertical Probing Philip W. Seitzer Distinguished Member of Technical Staff Equipment Engineering & Development Lucent Technologies, Allentown, PA 6/4/00 1 Outline Vertical Probing Background

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

Agile value chain for medium volumes, custom MEMS, manufacturing, packaging and integration Vincent Gaff, Tronics Microsystems, France

Agile value chain for medium volumes, custom MEMS, manufacturing, packaging and integration Vincent Gaff, Tronics Microsystems, France Agile value chain for medium volumes, custom MEMS, manufacturing, packaging and integration Vincent Gaff, Tronics Microsystems, France www.tronicsgroup.com MEMS market: a continuous growth over 20 years

More information

Click to edit Master title style

Click to edit Master title style Applying the CMOS Test Flow to MEMS Click to edit Master title style Manufacturing Mike Daneman InvenSense, Inc. Overview InvenSense Overview Test vs. Fabrication Model CMOS Model Traditional MEMS Model

More information

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 1 NCCAVS Junction Technology Group SEMICON West 2015 Meeting July 16, 2015 Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 DEVICE PERFORMANCE

More information

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration To enhance the level of disclosure we provide and help investors gain better insight into our business, we are providing investors the following financial information: Page Table Description 2 4 1 Revenue

More information

Hafnium -based gate dielectrics for high performance logic CMOS applications

Hafnium -based gate dielectrics for high performance logic CMOS applications Hafnium -based gate dielectrics for high performance logic CMOS applications T. Kelwing*, M. Trentzsch, A. Naumann, B. Bayha, B. Trui, L. Herrmann, F. Graetsch, R. Carter, R. Stephan, P. Kuecher & W. Hansch

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

Redox-Active Molecular Flash Memory for On-Chip Memory

Redox-Active Molecular Flash Memory for On-Chip Memory Redox-Active Molecular Flash Memory for On-Chip Memory By Hao Zhu Electrical and Computer Engineering George Mason University, Fairfax, VA 2013.10.24 Outline Introduction Molecule attachment method & characterizations

More information

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way 台積電北美徵才 改變世界就是要你 Date & Location 4/9-10 Purdue U. 4/11-12 U. of Michigan 4/11-13 San Jose 4/13-14 U. Penn 4/14-15 UCLA 4/16-17 MIT 4/16-17 UT Austin 4/18 Columbia U. Interview Arrangement Come talk with

More information

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys. DATASHEET IC Validator High-performance DRC/LVS physical verification substantially reduces time-to-results Overview Synopsys IC Validator is a comprehensive physical verification signoff solution that

More information

Used Semiconductor Manufacturing Equipment Market Study: Shift to 300mm Creates 200mm Opportunities. Study Number: MA107-08

Used Semiconductor Manufacturing Equipment Market Study: Shift to 300mm Creates 200mm Opportunities. Study Number: MA107-08 Used Semiconductor Manufacturing Equipment Market Study: Shift to 300mm Creates 200mm Opportunities Study Number: MA107-08 January 2008 Copyright Semico Research, 2008. All rights reserved. Reproduction

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader TSV technology embedding high density capacitors for advanced 3D packaging solutions IMAPS Device Packaging Conference 2014 Catherine Bunel 2014.03.12 Outline Introduction IPDiA s

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

IC Cost and Price Model User Manual Version 2019 model Introduction Model description Support and updates

IC Cost and Price Model User Manual Version 2019 model Introduction Model description Support and updates IC Cost and Price Model User Manual IC Knowledge LLC, PO Box 20, Georgetown, MA 01833 Tx: (978) 352 7610, Fx: (978) 352 3870, email: info@icknowledge.com Version 2019 model Introduction This manual presents

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

1.0μm 40V HV 1.0 Micron 40V High Voltage CMOS Technology for high voltage Product Applications

1.0μm 40V HV 1.0 Micron 40V High Voltage CMOS Technology for high voltage Product Applications 1.0μm 40V HV 1.0 Micron 40V High Voltage CMOS Technology for high voltage Product Applications Overview 10HV is one of CSMC s high voltage process platforms. It is a simple high voltage process with a

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

STMicroelectronics Q Financial Results. October 24, 2018

STMicroelectronics Q Financial Results. October 24, 2018 STMicroelectronics Q3 218 Financial Results October 24, 218 Forward Looking Statements 2 Some of the statements contained in this release that are not historical facts are statements of future expectations

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

ASML - A strong company on a growth trajectory

ASML - A strong company on a growth trajectory ASML - A strong company on a growth trajectory Franki D Hoore Director European Investor Relations Cheuvreux European IT and Technology Conference Paris, 8 March, 2007 Safe Harbor Safe Harbor Statement

More information

JS-002 Module and Product CDM Result Comparison to JEDEC and ESDA CDM Methods

JS-002 Module and Product CDM Result Comparison to JEDEC and ESDA CDM Methods JS-002 Module and Product CDM Result Comparison to JEDEC and ESDA CDM Methods A. Righter (Analog Devices Inc.) [1], R. Ashton (ON Semiconductor), B. Carn (Intel), M. Johnson (Texas Instruments), B. Reynolds

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Rakesh Kumar, Brian Henderson Technology Connexions San Diego, CA rakesh@tcxinc.com, brianh@tcxinc.com Emerging Fabless

More information

Lehman Brothers Global Technology Conference. December 2007

Lehman Brothers Global Technology Conference. December 2007 Lehman Brothers Global Technology Conference December 2007 Oleg Khaykin Joanne Solomon EVP & COO Chief Financial Officer Forward Looking Statement Disclaimer All information and other statements contained

More information

Building the 21 st Century Integrated Silicon Photonics Ecosystem

Building the 21 st Century Integrated Silicon Photonics Ecosystem Building the 21 st Century Integrated Silicon Photonics Ecosystem Integrated Photonics is about data and sensing VISION Establish technology, business, and education framework for industry, government,

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

If it moves, chop it in half, then simulate it

If it moves, chop it in half, then simulate it Interactions of Double Patterning Technology with wafer processing, OPC and design flows Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Technical Viability of Stacked Silicon Interconnect Technology

Technical Viability of Stacked Silicon Interconnect Technology Technical Viability of Stacked Silicon Interconnect Technology Dr. Handel H. Jones Founder and CEO, IBS Inc. Los Gatos, California October 2010 TECHNICAL VIABILITY OF STACKED SILICON INTERCONNECT TECHNOLOGY

More information

Credit Suisse Technology Conference

Credit Suisse Technology Conference Credit Suisse Technology Conference November 2007 Oleg Khaykin Ken Joyce Jim Fusaro EVP & COO Chief Administrative Officer Corporate VP, Wire Bond Products Forward Looking Statement Disclaimer All information

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

NANOMANUFACTURING TECHNOLOGY

NANOMANUFACTURING TECHNOLOGY NANOMANUFACTURING TECHNOLOGY NAS/SSSC Spring Meeting April 2, 2009 Moore's Law and Transistor Scaling Bits/Chip 1T 45nm 90nm 1G 0.25um 1um 1M 1K 1975 1985 1995 2005 2015 DSP AA Battery Hours 100 50 0 0

More information

DELTA Microelectronics

DELTA Microelectronics DELTA / ASIC DELTA Microelectronics Complete supply chain services Flexible business models etc. We help ideas meet the real world / ASIC.MADEBYDELTA.COM About us DELTA Microelectronics is a leading European

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

Early Prediction of Product Performance and Yield Via Technology Benchmark

Early Prediction of Product Performance and Yield Via Technology Benchmark Early Prediction of Product Performance and Yield Via Technology Benchmark Choongyeun Cho 1, Daeik D. Kim 1, Jonghae Kim 2, Daihyun Lim 1, Sangyeun Cho 3 1 IBM, 2 Qualcomm, 3 U. Pittsburgh Background Process

More information

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS P A D S W H I T E P A P E R w w w. m e n t o r. c o m / p a d s INTRODUCTION Printed Circuit Board design is a

More information

OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING. Jacky Tung Tina Sheen Merlin Kao C.H.

OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING. Jacky Tung Tina Sheen Merlin Kao C.H. Proceedings of the 2013 Winter Simulation Conference R. Pasupathy, S. H. Kim, A. Tolk, R. Hill, and M. E. Kuhl, eds OPTIMIZATION OF AMHS DESIGN FOR A SEMICONDUCTOR FOUNDRY FAB BY USING SIMULATION MODELING

More information

MoSys Corporate Overview

MoSys Corporate Overview MoSys Corporate Overview Chet Silvestri May 1, 2006 2006 Monolithic Systems Technology, Inc. Safe Harbor Statement This presentation may contain forward-looking statements about the Company including,

More information

Huawei Technologies, Inc.

Huawei Technologies, Inc. Huawei Technologies, Inc. Looking for the best talents to build the best products Founded in 1987 as an employee-owned private company with headquarter in Shenzhen, China, Huawei becomes a leading global

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Impact of Litho on Design

Impact of Litho on Design Impact of Litho on Design Srini Raghvendra Senior Director DFM Solutions Synopsys Inc. Acknowledgements Dan Page Mike Rieger Paul vanadrichem Jeff Mayhew 2006 Synopsys, Inc. (2) Subwavelength Litho Requires

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

System Level Design and Simulation for Heterogeneous Integration

System Level Design and Simulation for Heterogeneous Integration System Level Design and Simulation for Heterogeneous Integration Presented by Bill Bottoms PhD bill_bottoms@3mts.com Electronic Design Process Symposium SEMI, Milpitas, California September 21 22, 2017

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

Challenges and Solutions in Modeling and Simulation of Device Self-heating, Reliability Aging and Statistical Variability Effects

Challenges and Solutions in Modeling and Simulation of Device Self-heating, Reliability Aging and Statistical Variability Effects Challenges and Solutions in Modeling and Simulation of Device Self-heating, Reliability Aging and Statistical Variability Effects Dehuang Wu, Joddy Wang 2018 Synopsys, Inc. 1 Outline Device aging, self-heating

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

SRC Research Needs in Logic and Physical Design and Analysis. August 2002

SRC Research Needs in Logic and Physical Design and Analysis. August 2002 SRC Research Needs in Logic and Physical Design and Analysis August 2002 I. Prologue The research needs document for physical design was first created in draft copy in 1997. This was in response to requests

More information

CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE

CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE Agenda Why Financial drivers How Requirements/Expectations What s next Tomorrow solutions INDUSTRY & COSTS Manufacturing >1 month >1000

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

GaN on Si Manufacturing Excellency in CMOS Foundry Fab

GaN on Si Manufacturing Excellency in CMOS Foundry Fab GaN on Si Manufacturing Excellency in CMOS Foundry Fab Paul Chu Oct. 2016 Agenda 1. GaN Device Offering 2. GaN Production 3. GaN MoCVD Manufacturability 4. Yield Improvement 5. Summary 2 l GaN superiority

More information

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services November, 217 Safe Harbor Notice This presentation contains certain forward-looking statements. These forward-looking

More information