Sharif University of Technology Introduction to ASICs

Size: px
Start display at page:

Download "Sharif University of Technology Introduction to ASICs"

Transcription

1 SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology

2 IC Technology The term ASIC is often reserved for circuits that are fabricated in a silicon foundry, while circuits that can be programmed at the customer s s site are called Programmable Logic. The term full custom is reserved for circuits where all silicon layers can be optimized. This implies a long design process and thus full custom is mainly used for high-volume high- end circuits. Page 2

3 What is an ASIC? An ASIC (Application Specific Integrated Circuit) is an integrated circuit for a specific application and (generally) produced in relatively small volumes (batches of 10 to units). A typical ASIC is a circuit, where functions are designed by the customer, and layout and the fabrication is done by the silicon vendor. An ASIC technology helps to shorten the design time by providing a semi-fabricated integrated circuit. Not ASICs: General-purpose processors, memory chips and other standard components. Page 3

4 ASIC Vs. Standard IC Standard ICs: Typically low component cost Parts available off the shelf Proven component reliability Multiple sourcing System house not required to have in-house experts in chip design Examples: chipsets, telecom ICs, processors, interface ICs, logic ICs, memory circuits. ASICs: Good security of intellectual property Optimum system design Relatively efficient use of board space (smaller systems) Reliability enhanced at system level (fewer (ewe components) Performance may be better than comparable standard ICs (unique features and lower power consumption) Reduced cost for storage (less components to store) Design cost is high h and design cycle is long Page 4

5 ASICs Advantages and Disadvantages Advantages Efficient use of board space (lower final system cost) Product security Unique features and fine-tuning the product Optimized system performance Possible product differentiation Disadvantages Potential for design failure Not off-the the-shelf available (specification, design, testing ti and documentation phases are needed) High unit cost of IC (higher initial costs of development) Page 5 of 32

6 ASIC vs the Rest Energy Efficien ncy: MO OPS/mW Dedicated HW (ASICs) Reconfigurable Processor/Logic ASIPs DSPs Embedded Processors Flexibility Page 6

7 ASIC Tasks and Roles System Designer, Architect System Level Functional Specification, Architectural Specification Performance and Power Consumption Specification Partitioning across domains RF/Analog, Digital, Software Estimates Block Level Partitioning, Interfaces Memory and Interconnect Hierarchy Power Management Strategy Chip Level Planning Package, Pads, I/O Preliminary Floorplan Global Control and Timing Clock and Reset strategy DFT Strategy Startup and Boot Sequence Page 7

8 ASIC Tasks and Roles (cont d) Logic Designer Block Level Design Detailed Block Level Design Specification Synthesis and hand-off of a timing clean block Exhaustive block level verification with near perfect code coverage Verification Engineer Verification Plan Modeling of Environment Verification Environment, Scripts, Regression DFT Engineer DFT logic Boundary Scan ATPG Page 8

9 ASIC Tasks and Roles (cont d) Front end ASIC Engineer Chip Level Synthesis Strategy Synthesis Scripts Timing Analysis Interface to backend Back end ASIC Engineer Floorplanning Place and Route Clock Tree Synthesis Power routing Pads and Package Integration and Test Page 9

10 1. Requirements Capture ASIC Project Phases Market Survey, Business Opportunities, Customer Interaction Requirements Specification CRS (Customer Requirements Specification) 2. PreStudy Hierarchical list of requirements Peformance, Cost and Delivery Schedule Estimate Die Size, Package, Power Consumption, Performance, Development, Manufacturing, Integration and Test Times Buy or Develop Decisions Explore architectural and algorithmic alternatives Interact with Customer, Marketting and refine Requirements doc. Quit or go ahead decision Page 10

11 ASIC Project Phases (cont d) 3. System Design 4. Implementation ti Design and Verification 5. Integration & Test 6. Maintenance Page 11

12 Design Requirements Technology-driven: Greater Complexity Higher Density Increased Performance Lower Power Dissipation Market-driven: Shorter Time-to-Market (TTM) Average TTM constraint is about 8 months. Missing the market window is very costly. Lower cost Non-Recurring Engineering (NRE) cost,volume sensitive Manufacturing and test cost Page 12

13 Complexity Architecture Efficacy Gap Architecture Trends Design Productivity Gap Methodology Trends Power Capacity Gap Power Management Trends Page 13

14 Design criteria Three dimensions: area, delay, power size, speed, energy consumption Four dimensions: plus testability (reliability) Area: gates, wires, buses, etc Delay: inside a module, between modules, etc Power consumption: average, peak and total t Optimizations: transferring from one dimension to another design quality is measured by combined parameters, e.g., energy consumption per input sample Page 14

15 Full-Custom ASIC An engineer designs some or all of the logic cells, circuits, layout specifically for one ASIC. Excellent performance, small size, low power Demands long design cycle High NRE cost Mostly used: If no pre-designed cells are available (e.g., new or highly specialized circuit) When high performance is needed, and existing cell libraries are not suitable Are slow, or not small enough, or consume too much power Requirements for high-voltage (automobile), mixed analog/digital (communications), or sensors and actuators Page 15

16 Cell-based IC (CBIC) Standard-Cell ASICs Use predesigned d logic cells (known as standard d cells) and larger cells, called megacells or cores (e.g. microcontroller) The standard cell library defines logic elements of varying complexity: SSI, MSI logic, data path blocks, memories and system-level blocks. Standard cells are built by someone else using full-custom design techniques Designers save time, money, and reduce risk by using a predesigned, pretested cell library Each standard cell can be optimized individually All mask layers are customized (transistors and interconnect) Custom blocks can be embedded Manufacturing lead time is about 2 months Page 16

17 Standard-Cell ASICs (example) Cells are configured in rows and have constant height and variable width A cell library holds relevant information about cells Name, functionality, delays, resistance, capacitance, layout, area, pin topology, etc. All cells in a library have same standardized layouts, i.e., all cells have the same height. Page 17

18 Gate-Array ASICs A gate-array array chip contains prefabricated adjacent rows of PMOS and NMOS transistors. Interconnect is defined by designer and fabricated using a custom mask (masked gate array or MGA) Called personalization Designer chooses cells from a gate-array array library of predefined, pretested cells Chip is partially fabricated (cells, power, etc. added) and then stockpiled When design is received for fabrication, the remaining metal layers are added Cheaper everyone shares cost of producing high volume of initial chip Quick turn-around days, couple weeks Sharif University of Technology Introduction to ASICs Page 18

19 + Low manufacturing cost Gate Array Features Due to the high yield of MPGAs (only 4 masking steps are involved in personalization: one for each of the two metal layers and two for placing contacts on these layers). More metal layers to improve the routability results in lower yield, thus adversely affects the manufacturing cost Difficult layout Vertical and horizontal channels can accommodate only a fixed number of wires. Automatic layout generator can easily create congestion by trying to place strongly connected cells close, thus exceeding the wiring capacity of the channels. Floorplanning is also difficult because of the rigid array structure. All cells have to be designed to meet the size constraint imposed by the array structure. Sharif University of Technology Introduction to ASICs Page 19

20 Gate Array Features (cont d) Difficult to estimate performance Because of the limited it routing capacity, it is difficult to estimate t the wire delays of gate array designs before routing is done. Gate count and utilization Gate utilization is always less than the specified capacity. strongly dependent on the type of design (structured or random) and the gate array architecture. Gate array capacities come in discrete sizes, typically differing in 35% steps most gate array designs will not utilize the complete capacity. Page 20

21 Channeled Gate Array Only the interconnect is customized The interconnect uses predefined spaces between rows of base cells Manufacturing lead time is between two days and two weeks Page 21

22 Channel-less less Gate Array Also known as sea-of-gate (SOG) array Only some mask layers are customized: the interconnect Cells are connected via unused transistors no predefined channels (over the cell routing) utilizes the active silicon poorly, but allows higher density of gates. Manufacturing lead time is between 2 days and 2 weeks Page 22

23 Field Programmable Gate Arrays None of the mask layers are customized Basic logic cells and interconnect can be programmed Basic cells can be SRAM-based, flash-memory- based or fuse-based (one time programmable), and can implement combinational, as well as sequential logic Programmable I/O cells surround the core Page 23

24 Programmable Logic Devices Standard ICs, available in standard configurations, sold in high volume But can be configured / programmed to create a specialized device No customized cells or masks, just a single large block of programmable interconnect fast design turnaround a single large block of programmable interconnect a matrix of logic macrocells that usually consist of programmable array logic followed by a flip-flop flop or latch Page 24

25 Prototyping Possibility to check how a system works at conditions very close to the operating environment without the need to create expensive chips XESS Corp., $400 [ Xilinx Inc., FPGA XC2S100 ] ErST Electronics, $3380 [ Xilinx Inc., FPGA X2V ] Page 25

26 Comparison Page 26

27 Comparison (cont d) ASIC type Family member Custom mask layers Custom logic cells Analogue Full-custom Analog/digital All Some A pizza built from scratch Semicustom CBIC MGA All Some None None A pizza built from predefined selection A garden pizza with cheese option Programmable FPGA PLD None None None None A frozen pizza Adapted from professor Robert A. Walker s (Kent University) VLSI Design course web site Page 27

28 In terms of part cost: FPGA > MGA > CBIC In terms of product cost: fixed part cost + variable cost per part * sales volumes Economics of ASICs Example (using imaginary costs): FPGA: $21 21,800 (fixed) $39 (variable) MGA: $86 86,000 (fixed) $10 (variable) CBIC $ ,000 (fixed) $8 (variable) Then we can calculate the following break-even even volumes: FPGA/MGA» 2000 parts FPGA/CBIC» 4000 parts MGA/CBIC» 20, parts Sharif University of Technology Introduction to ASICs Page 28

29 ASIC fixed costs training i cost (EDA tools) hardware/software cost design for test nonrecurring- engineering i (NRE) cost masks simulation test program software tools design verification prototype samples Economics of ASICs Page 29

30 ASIC variable costs wafer size wafer cost gate density gate utilization die size =(#gates/util util)/density die per wafer defect density yield die cost profit margin price per gate part cost Economics of ASICs Page 30

31 Economics of ASICs For any new process technology, the price per gate decreases by 40% in the 1st year, 20% in the 2nd year, and then remains constant A new process technology is introduced every 2 years with feature size decreasing by a factor of 2 every 5 years. CBICs and MGAs are introduced at the same time and price The price of a new technology is initially 10% above the process that it replaces FPGAs are introduced one year after CBICs using the same process Initial FPGA price is 10% higher than the initial price for CBICs or MGAs using the same process Page 31

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools.

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools. Higher National Unit Specification General information for centres Unit code: DG3P 35 Unit purpose: This Unit is designed to enable candidates to gain some knowledge and understanding of the architecture

More information

Digital Design Methodology (Revisited)

Digital Design Methodology (Revisited) Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

1. Explain the architecture and technology used within PLDs. 2. Compare PLDs with alternative devices. 3. Use PLD design tools.

1. Explain the architecture and technology used within PLDs. 2. Compare PLDs with alternative devices. 3. Use PLD design tools. Higher National Unit Specification General information for centres Unit code: DG52 35 Unit purpose: This Unit is designed to enable candidates to gain some knowledge and understanding of the principles

More information

Designers Describe Next-Generation Application- Specific Devices

Designers Describe Next-Generation Application- Specific Devices End-User Analysis Designers Describe Next-Generation Application- Specific Devices Abstract: Systems designers rate third-party tool integration as one of the top factors in choosing an ASIC vendor, along

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

VHDL Introduction. EL 310 Erkay Savaş Sabancı University VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored

More information

Physical Level Design using Synopsys

Physical Level Design using Synopsys 1 Physical Level Design using Synopsys Jamie Bernard, Student MS CpE George Mason University Abstract Very-Large-Scale-Integration (VLSI) of digital systems is the foundation of electronic applications

More information

High Level Tools for Low-Power ASIC design

High Level Tools for Low-Power ASIC design High Level Tools for Low-Power ASIC design Arne Schulz OFFIS Research Institute, Germany 1 Overview introduction high level power estimation µprocessors ASICs tool overview µprocessors ASICs conclusion

More information

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com

More information

AN 453: HardCopy II ASIC Fitting Techniques

AN 453: HardCopy II ASIC Fitting Techniques AN 453: HardCopy II ASIC Fitting Techniques November 2008 AN-453-2.0 Introduction Engineers often use a flexible, reprogrammable Stratix II FPGA for prototyping a project, and then transfer the design

More information

5. Quartus II Support for HardCopy Stratix Devices

5. Quartus II Support for HardCopy Stratix Devices 5. Quartus II Support for HardCopy Stratix Devices H51014-3.4 Introduction Altera HardCopy devices provide a comprehensive alternative to ASICs. HardCopy structured ASICs offer a complete solution from

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

HardCopy Series. ASIC Gain Without the Pain

HardCopy Series. ASIC Gain Without the Pain HardCopy Series ASIC Gain Without the Pain January 2005 HardCopy Structured ASICs Altera s HardCopy series is the industry s most compelling structured ASIC solution. With its unique FPGA front-end design

More information

Technical Viability of Stacked Silicon Interconnect Technology

Technical Viability of Stacked Silicon Interconnect Technology Technical Viability of Stacked Silicon Interconnect Technology Dr. Handel H. Jones Founder and CEO, IBS Inc. Los Gatos, California October 2010 TECHNICAL VIABILITY OF STACKED SILICON INTERCONNECT TECHNOLOGY

More information

13. Back-End Design Flow for HardCopy Series Devices

13. Back-End Design Flow for HardCopy Series Devices 13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.

More information

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs HX5000 Design Flow and Infrastructure Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs Overview Radiation-hardened application specific integrated circuits (ASICs) can now achieve extremely

More information

Altera s Roadmap. Looking Forward Altera Corporation

Altera s Roadmap. Looking Forward Altera Corporation Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera

More information

Frontend flow. Backend flow

Frontend flow. Backend flow This section intends to document the steps taken in the first stage of this dissertation. In order to understand the design flow used by Synopsys HARDIP team, a PLL design was made, covering all the stages

More information

4. Back-End Timing Closure for HardCopy Series Devices

4. Back-End Timing Closure for HardCopy Series Devices 4. Back-End Timing Closure for HardCopy Series Devices H51013-2.4 Introduction Back-end implementation of HardCopy series devices meet design requirements through a timing closure process similar to the

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

Philip Simpson. FPGA Design. Best Practices for Team-based Design

Philip Simpson. FPGA Design. Best Practices for Team-based Design FPGA Design 5 Philip Simpson FPGA Design Best Practices for Team-based Design Philip Simpson Altera Corporation San Jose, CA 95134 USA Feilmidh@sbcglobal.net ISBN 978-1-4419-6338-3 e-isbn 978-1-4419-6339-0

More information

11. Timing Closure in HardCopy Devices

11. Timing Closure in HardCopy Devices 11. Timing Closure in HardCopy Devices qii52010-2.0 Introduction Timing analysis is performed on an FPGA design to determine that the design s performance meets the required timing goals. This analysis

More information

Section II. HardCopy Design Center Migration Process

Section II. HardCopy Design Center Migration Process Section II. HardCopy Design Center Migration Process This section provides information about software support for HardCopy Stratix devices. This section contains the following: Chapter 3, Back-End Design

More information

Kun Young Chung Design Technology Team System LSI Samsung Electronics

Kun Young Chung Design Technology Team System LSI Samsung Electronics 2012 Test Technology Workshop (Oct. 31, 2012) IDC-System LSI Business 0 Kun Young Chung Design Technology Team System LSI Samsung Electronics An Overview: Test Challenges in 3D ICs Design (Design-for-Test)

More information

EE-379 Embedded Systems and Applications Introduction

EE-379 Embedded Systems and Applications Introduction EE-379 Embedded Systems and Applications Introduction Cristinel Ababei Department of Electrical Engineering, University at Buffalo Spring 2013 Note: This course is offered as EE 459/500 in Spring 2013

More information

8. Description, Architecture, and Features

8. Description, Architecture, and Features 8. Description, Architecture, and Features H51007-2.3 Introduction HardCopy APEX TM devices extend the flexibility of high-density FPGAs to a cost-effective, high-volume production solution. The migration

More information

Real-Time and Embedded Systems

Real-Time and Embedded Systems Real-Time and Embedded Systems (CUGS Course) Petru Eles and Zebo Peng Embedded Systems Laboratory (ESLAB) Linköping University www.ida.liu.se/~zebpe/teaching/rtes Course Organization Module I System-Level

More information

SRC Research Needs in Logic and Physical Design and Analysis. August 2002

SRC Research Needs in Logic and Physical Design and Analysis. August 2002 SRC Research Needs in Logic and Physical Design and Analysis August 2002 I. Prologue The research needs document for physical design was first created in draft copy in 1997. This was in response to requests

More information

ADVANCED VLSI COURSE IN PHYSICAL DESIGN

ADVANCED VLSI COURSE IN PHYSICAL DESIGN ADVANCED VLSI COURSE IN PHYSICAL DESIGN Course covers all advanced topics as prescribed by industry requirements Address: #11, 1st Floor, JCR Tower, Anantha Ram Reddy Layout, Behind Vinyaka Skoda Showroom,

More information

COMP3221: Microprocessors and. and Embedded Systems

COMP3221: Microprocessors and. and Embedded Systems COMP3221: Microprocessors and Embedded Systems Lecture 31: Embedded Systems http://www.cse.unsw.edu.au/~cs3221 Lecturer: Hui Wu Session 2, 2005 Overview What is an embedded system? Characteristics of embedded

More information

TLM-Driven Design and Verification Time For a Methodology Shift

TLM-Driven Design and Verification Time For a Methodology Shift TLM-Driven Design and Time For a Methodology Shift By Jack Erickson, Cadence Design Systems, Inc. Transaction level modeling (TLM) is gaining favor over register-transfer level () for design components

More information

An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction

An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction by Jasmine Kaur Gulati MT14081 Under the Supervision of Dr. Sumit Darak Bhanu Prakash, ST Microelectronics

More information

Alternatives to Vertical Probing

Alternatives to Vertical Probing Alternatives to Vertical Probing Philip W. Seitzer Distinguished Member of Technical Staff Equipment Engineering & Development Lucent Technologies, Allentown, PA 6/4/00 1 Outline Vertical Probing Background

More information

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems Frank Schirrmeister ChipVision Design Systems franks@chipvision.com 1. Introduction 1.1. Motivation Well, it happened again. Just when you were about to beat the high score of your favorite game your portable

More information

Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application

Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application Combining OpenCV and High Level Synthesis to Accelerate your FPGA / SoC EV Application Adam Taylor Adiuvo Engineering & Training Ltd Tends in Embedded Vision Ubiquity Applications are wide spread ADAS,

More information

Application of DO-254 Level A (Appendix B) Design Assurance Objectives of. Elemental Analysis. Mixed Signal (Analog/Digital) Discrete Circuitry

Application of DO-254 Level A (Appendix B) Design Assurance Objectives of. Elemental Analysis. Mixed Signal (Analog/Digital) Discrete Circuitry Application of DO-254 Level A (Appendix B) Design Assurance Objectives of Elemental Analysis To Mixed Signal (Analog/Digital) Discrete Circuitry By Dave Duncan Purple Seal Inc. THE INFORMATION CONTAINED

More information

DOWNSCALING of transistor feature size and operating

DOWNSCALING of transistor feature size and operating IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 35, NO. 3, MARCH 2016 367 Layout-Based Modeling and Mitigation of Multiple Event Transients Mojtaba Ebrahimi, Hossein

More information

FUSE. Best Practice Training Materials FPGA

FUSE. Best Practice Training Materials FPGA FUSE Best Practice Training Materials FPGA Best Practice Training Materials http://www.fuse-network.com 2 1 Introduction 3 1.1 What constitutes an FPGA?...3 1.2 How is the programme stored?...4 1.1.1 Programming

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Digital Testing. Lecture 8: Testability Measures

Digital Testing. Lecture 8: Testability Measures Digital Testing Lecture 8: Testability Measures Instructor: Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from lecture notes prepared by the book authors Sharif

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Designing for Reuse of Configurable Logic

Designing for Reuse of Configurable Logic Designing for Reuse of Configurable Logic Joseph P. Elm July 2005 TECHNICAL REPORT CMU/SEI-2005-TR-016 ESC-TR-2005-016 Pittsburgh, PA 15213-3890 Designing for Reuse of Configurable Logic CMU/SEI-2005-TR-016

More information

Total Cost of Ownership: Xilinx FPGAs vs. Traditional ASIC Solutions Author: Shelly Davis

Total Cost of Ownership: Xilinx FPGAs vs. Traditional ASIC Solutions Author: Shelly Davis White Paper: FPGAs WP112 (v1.0) February 23, 2000 Total Cost of Ownership: Xilinx FPGAs vs. Traditional ASIC Solutions Author: Shelly Davis Summary This white paper provides an in-depth discussion of the

More information

SEER for Hardware Electronics and Systems Extended Capabilities

SEER for Hardware Electronics and Systems Extended Capabilities SEER for Hardware Electronics and Systems Extended Capabilities Keith Garland Andrew Langridge Bletchley Conference 2008 1 SEER for Hardware Client for Microsoft Project Keith Garland Galorath International

More information

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor Hot Chips-18 Design of a Reusable 1GHz, Superscalar ARM Processor Stephen Hill Consulting Engineer ARM - Austin Design Centre 22 August 2006 1 Outline Overview of Cortex -A8 (Tiger) processor What is reusability

More information

Comprehensive Laser Sensitivity Profiling and Data Register Bit-Flips For Fault Injection in 65 nm FPGA

Comprehensive Laser Sensitivity Profiling and Data Register Bit-Flips For Fault Injection in 65 nm FPGA Comprehensive Laser Sensitivity Profiling and Data Register Bit-Flips For Fault Injection in 65 nm FPGA Wei He 1,2, Jakub Breier 1,2, Dirmanto Jap 1,3, Shivam Bhasin 1,2, Hock Guan Ong 2,4, Chee Lip Gan

More information

International Construction Consulting, LLC. Modularization Overview.

International Construction Consulting, LLC. Modularization Overview. International Construction Consulting, LLC Modularization Overview Presentation Overview 1 Modularization Overview 2 An Alternative Construction Method to Deliver Projects 3 Quick Selection Criteria for

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS P A D S W H I T E P A P E R w w w. m e n t o r. c o m / p a d s INTRODUCTION Printed Circuit Board design is a

More information

Digital VLSI Design. Lecture 1: Introduction

Digital VLSI Design. Lecture 1: Introduction Digital VLSI Design Lecture 1: Introduction Semester A, 2018-19 Lecturer: Dr. Adam Teman 20 October 2018 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied

More information

Software Safety and Certification

Software Safety and Certification Software Safety and Certification presented to IEEE Spring Switchgear Committee Luncheon Seminar 4 May, 2004 by Howard Cox Laboratories 1 What we will cover... Functional Safety Concepts from IEC 61508

More information

Electronic Part Obsolescence Forecasting

Electronic Part Obsolescence Forecasting Electronic Part Obsolescence Forecasting Pro-Active Approaches to Part Obsolescence Management Understanding that electronic part obsolescence is going to be a significant contributor to system sustainment

More information

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time Hierarchical Design and Analysis Environment Improve performance and capacity while reducing design time Design Complexity Increasing More and more FPGA designs are Platform designs today Users integrating

More information

FPGA BASED TRAFFIC LIGHT CONTROLLER

FPGA BASED TRAFFIC LIGHT CONTROLLER FPGA BASED TRAFFIC LIGHT CONTROLLER Lalita Choudhary 1, KrishnaBalram Parihari 2, Kamlesh Kumhar 3, JitendraKumar Sao 4 1,2,3 UGScholor, 4 Faculty, Department of Electronics & Telecommunication, Kirodimal

More information

Xpedition Package Integrator

Xpedition Package Integrator Xpedition Package Integrator Xpedition D A T A S H E E T FEATURES AND BENEFITS: Figure 1: The Xpedition Package Integrator Flow comprises all the tools necessary for efficient package/pcb codesign, for

More information

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Introduction Xilinx All Programmable FPGAs and SoCs are used across multiple markets, powering applications

More information

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Datasheet Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Overview Today s automobiles and trucks include more electronics features and functions than at any

More information

Structured ASICs - A Risk Management Tool. Peter Woo Senior Director of Product Marketing easic Corp.

Structured ASICs - A Risk Management Tool. Peter Woo Senior Director of Product Marketing easic Corp. Structured ASICs - A Risk Management Tool Peter Woo Senior Director of Product Marketing easic Corp. 2 Moore s Law Only for the Brave? The costs and risks of designing custom silicon are putting innovation

More information

LOW-ENERGY FPGAs - ARCHITECTURE AND DESIGN

LOW-ENERGY FPGAs - ARCHITECTURE AND DESIGN LOW-ENERGY FPGAs - ARCHITECTURE AND DESIGN THE KLUWER INTERNATIONAL SERIES IN ENGINEERING AND COMPUTER SCIENCE LOW-ENERGY FPGAs - ARCHITECTURE ANDDESIGN by Varghese George STMicroelectronics and Jan M.

More information

Architectural Implications of Brick and Mortar Silicon Manufacturing

Architectural Implications of Brick and Mortar Silicon Manufacturing Architectural Implications of Brick and Mortar Silicon Manufacturing Martha Mercaldi Kim Mark Oskin Mojtaba Mehrara Todd Austin University of Washington University of Michigan Cost of Production Standard

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Closing the gap between ASIC & Custom

Closing the gap between ASIC & Custom Closing the gap between ASIC & Custom Chapters 9, 10 and 11 Presented by Raimo Mäkelä Content of presentation Chapter 9 Faster and Lower Power Cell-Based Design with Transistor-Level Cell Sizing Chapter

More information

HAVE A PLAN! Slide Set Overview. Special Topics in Advanced Digital System Design. Design Methodologies. Design Considerations: Techy Terms

HAVE A PLAN! Slide Set Overview. Special Topics in Advanced Digital System Design. Design Methodologies. Design Considerations: Techy Terms Slide Set Overview Special Topics in Advanced Digital System Design by Dr. Lesley Shannon Email: lshannon@ensc.sfu.ca Course Website: http://www.ensc.sfu.ca/~lshannon/ Simon Fraser University Slide Set:

More information

HIGH-SPEED SYSTEM INTERCONNECT DESIGN

HIGH-SPEED SYSTEM INTERCONNECT DESIGN PACKAGE-BOARD SOLUTIONS OVERVIEW HIGH-SPEED SYSTEM INTERCONNECT DESIGN CADENCE TECHNOLOGIES MEET INDUSTRY S NEED FOR SPEED HIGH-SPEED PACKAGE-BOARD DESIGN TECHNOLOGIES MOVE AT MULTIGIGABIT SPEED Electronics

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES Innovation Simplified HCL offers domain-specific hardware engineering and design services in VLSI ASIC, FPGA, and SoC engineering, board design,

More information

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on?

DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on? DATE 2009 PANEL SESSION Is the 2nd Wave of HLS the One Industry Will Surf on? Jason Cong Chancellor s s Professor UCLA Computer Science Department cong@cs.ucla.edu Chief Technology Advisor AutoESL Design

More information

Key features in Xpedition Package Integrator include:

Key features in Xpedition Package Integrator include: Introduction Xpedition Package Integrator provides a co-design methodology that automates planning, optimization of connectivity from a chip through multiple packaging variables, while targeting multiple

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

Design and Implementation of Genetic Algorithm as a Stimulus Generator for Memory Verification

Design and Implementation of Genetic Algorithm as a Stimulus Generator for Memory Verification International Journal of Emerging Engineering Research and Technology Volume 3, Issue 9, September, 2015, PP 18-24 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Design and Implementation of Genetic

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

ECE 699: Lecture 2. ZYNQ Design Flow

ECE 699: Lecture 2. ZYNQ Design Flow ECE 699: Lecture 2 ZYNQ Design Flow Required Reading The ZYNQ Book Chapter 3: Designing with Zynq ( How do I work with it? ) Xcell Journal Xilinx Unveils Vivado Design Suite for the Next Decade of All

More information

IC Compiler Comprehensive Place and Route System

IC Compiler Comprehensive Place and Route System Datasheet IC Compiler Comprehensive Place and Route System Overview IC Compiler is the leading place and route system. A single, convergent, chiplevel physical implementation tool, it includes flat and

More information

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however: MOS Transistors Readings: Chapter 1 N-type drain conductor - gate insulator source gate drain source n p n substrate P-type drain conductor - gate insulator source drain gate source p p substrate n 42

More information

Obstacle-aware Clock-tree Shaping during Placement

Obstacle-aware Clock-tree Shaping during Placement Obstacle-aware Clock-tree Shaping during Placement Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of Michigan 1 Outline Motivation and challenges Limitations of existing techniques Optimization

More information

FPGA Programming. Tutorial. Wojciech Jalmuzna Technical Univeristy of Lodz

FPGA Programming. Tutorial. Wojciech Jalmuzna Technical Univeristy of Lodz FPGA Programming Tutorial Wojciech Jalmuzna Technical Univeristy of Lodz INTRODUCTION What is an FPGA Short FPGA History Programming Language vs. Hardware Description Language Conclusions? Files can be

More information

Chapter 14. Designing with FineLine BGA Packages

Chapter 14. Designing with FineLine BGA Packages Chapter 14. Designing with FineLine BGA Packages S53009-1.3 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices

More information

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff.

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff. Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff. Introduction: The following scenarios are typical, when

More information

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics 12 INTRODUCTION With ASIC complexity on the increase and unrelenting time-to-market

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Motorola MPA1016FN FPGA

Motorola MPA1016FN FPGA Construction Analysis Motorola MPA1016FN FPGA Report Number: SCA 9711-561 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

If you want then let us introduce you to CADSTAR. The most powerful price/performance solution for PCB design

If you want then let us introduce you to CADSTAR.   The most powerful price/performance solution for PCB design Powerful PCB Design If you want... The most powerful price/performance solution for PCB design Shorter time-to-market for product designs A powerful on-line component library Automatic 3D back annotation

More information

Increasing challenges for size and cost reduction,

Increasing challenges for size and cost reduction, Packageon-Package: The Story Behind This Industry Hit Package-onpackage (PoP) technology is rapidly evolving to keep pace with the demand for faster, higherdensity devices in smaller, thinner stacks. As

More information

New Solution Deployment: Best Practices White Paper

New Solution Deployment: Best Practices White Paper New Solution Deployment: Best Practices White Paper Document ID: 15113 Contents Introduction High Level Process Flow for Deploying New Solutions Solution Requirements Required Features or Services Performance

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016

L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L-3 Fuzing & Ordnance Systems 59 th Annual Fuze Conference May 5, 2016 L - 3 FUZING & ORDNANCE SYSTEMS PUBLIC DOMAIN. This document consists of general capabilities information that is not defined as controlled

More information

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS AccuCell Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS Who Buys Cell Characterization Software? Commercial Libraries Users Who Do Not Have In-house Characterization Tool Characterize Different

More information

Cost and Benefit Models for Logic and Memory BIST

Cost and Benefit Models for Logic and Memory BIST Cost and Benefit Models for Logic and Memory BIST Juin-Ming Lu and Cheng-Wen Wu Lab. for Reliable Computing (LARC) Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan 3003

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

5. Quartus II Support for HardCopy II Devices

5. Quartus II Support for HardCopy II Devices 5. Quartus II Support for HardCopy II Devices H51022-2.5 HardCopy II Device Support Altera HardCopy II devices feature 1.2-V, 90 nm process technology, and provide a structured ASIC alternative to increasingly

More information

Startups Accelerating Going to Production With Altium

Startups Accelerating Going to Production With Altium Startups Accelerating Going to Production With Altium Richard Marshall CEO Xitex Ltd Munich, Germany, October 24-25 th 2017 Startups - Accelerating going to production with Altium Startups Crossing the

More information