2. Experimental Procedure Figure 1:

Size: px
Start display at page:

Download "2. Experimental Procedure Figure 1:"

Transcription

1 Influence Of Carbon, Metal-Coated Polymer, and Nano Powders On Sintering, and Electrical Performance of Nano-Micro-Filled Conducting dhesives For Z-xis Interconnections Rabindra N. Das, Frank D. Egitto, John M. Lauffer, Mark D. Poliks and Voya R. Markovich Endicott Interconnect Technologies, Inc., 1093 Clark Street, Endicott, New York, Telephone No: bstract This paper discusses micro-filled epoxy-based conducting adhesives modified with nanoparticles, carbon, and metalcoated polymer fillers for z-axis interconnections. variety of conducting adhesives with particle sizes ranging from 5 nm to 15 µm were incorporated as interconnects in printed wiring board (PW) or laminate chip carrier (LCC) substrates. Scanning electron microscopy (SEM) and optical microscopy were used to investigate the micro-structure, and conducting and sintering mechanisms. Sheet resistance of g, carbon, and metal-coated polymer filler was low. mong all, metalcoated polymer showed the highest resistance. Sheet resistance decreased with increasing curing temperature. Drop in resistance for carbon-doped samples was 90% from 200 o C to 275 o C. It was found that with increasing curing temperature, the resistance of the conducting paste decreased due to sintering of metal particles. Sintering temperature and corresponding grain growth of nano-micro adhesive was further evaluated using different size nano particles, and shows optimum sintering at 240 o C. dhesives formulated with highly filled silver nano-micro particles exhibited a Z- axis coefficient of thermal expansion (CTE) of 17 ppm/ o C, and as high as 41 ppm/ o C for carbon doped, highly filled silver nano-micro systems. Similarly, Z-CTE of highly filled metal-coated polymer fillers was 28 ppm/ o C. s a case study, a variety of z-axis interconnect constructions for a flip-chip plastic ball grid array package, as well as for PW were fabricated and evaluated at both the subcomposite and composite levels to understand and reduce paste-to-package CTE mismatch. Several conductive adhesives were used in the z-axis interconnect constructions for LCC and PW. The present process allows fabrication of z-interconnect conductive joints having diameters in the range of 55 to 300 µm. The processes and materials used to achieve smaller feature dimensions, satisfy stringent registration requirements, and achieve robust electrical interconnections are discussed. 1. Introduction The demand for high-performance, lightweight, portable computing power is driving the industry toward miniaturization at a rate not seen before. Electronic packaging is evolving to meet the demands of higher functionality in ever smaller packages. To accomplish this, new packaging needs to be able to integrate more dies with greater function, higher I/O counts, smaller pitches, and greater heat densities, while being pushed into smaller and smaller footprints. One packaging strategy devised to help meet these demands allows for metal-to-metal z-axis electrical interconnection of subcomposites during lamination to form a composite structure. Conductive joints are formed during lamination using an electrically conductive adhesive (EC). s a result, one is able to fabricate structures with verticallyterminated vias of arbitrary depth. Replacement of conventional plated through holes (PTHs) with verticallyterminated vias opens up additional wiring channels on layers above and below these vertical interconnections, and eliminates via stubs which cause reflective signal loss. Vertically terminated vias facilitate a more space-efficient package redesign. Conductive adhesives should meet the following requirements in order to achieve electrical and mechanical performance goals in an organic substrate: bility to fill small diameter holes Low Z-joint resistance Good mechanical strength Interconnect coefficient of thermal expansion (CTE) tuned to match the CTE of the substrate Low temperature sintering of the EC Typically, adhesives formulated using controlled-sized micro particles have been used to fill small diameter holes for Z-interconnect applications. During the past few years, there has been increasing interest in using electrically conductive adhesives as interconnecting materials in the electronics industry [1,2]. Conductive adhesives are composites of polymer resin and conductive fillers. Metal to-metal bonding between conductive fillers provides electrical conductivity [3-6], whereas a polymer resin provides better processability and mechanical robustness [7]. Conductive adhesives usually have excess filler loading that weaken the overall mechanical strength. Therefore, reliability of the conductive joint formed between the conductive adhesive and the metal surface to which it is mated is of prime importance. Conductive adhesives can have broad particle size distributions. Larger particles can be a problem when filling smaller holes (e.g., diameter of 60 µm or less), resulting in voids. Several nanoand micro-filled adhesives have been reported for advanced packaging applications [8-12]. lthough several composites have been available, the authors believe that there is potential scope for improvement of the existing materials, such that flexible and reliable materials can be developed for z-axis interconnections. In the present study, micro-filled adhesives were modified to improve overall electrical performance. The first objective of this study is to investigate the effect of nanoparticle addition to microcomposites and reduce sintering temperature. Nanoparticles of silver were chosen because of their higher electrical conductivity and chemical stability. Nanoparticles were mixed with microparticles to improve the

2 sintering behavior of the adhesives. second objective is to use a metal-coated polymer and carbon fillers. ddition of carbon will control the overall CTE without compromising electrical conductivity. Metal-coated polymers inter-diffuse during processing and produce a metallic network. This work also deals with CTE differences between the adhesives and the substrates to which they are mated. This paper presents a CTE assessment of different materials stacks in an effort to determine the most suitable conductive adhesive for a particular package. variety of adhesive joints were tested in a z-axis interconnect construction for a laminate chip carrier (LCC) and printed wiring board (PW). The structure employs an electrically conductive medium to interconnect thin cores (subcomposites). The cores are built in parallel, aligned, and laminated to form a composite. 2. Experimental Procedure variety of nano-micro silver, carbon, and metal-coated polymer fillers and their dispersion into epoxy resin were investigated in order to achieve uniform mixing in the adhesive. In a typical procedure, epoxy-based conductive adhesives were prepared by mixing appropriate amounts of the conducting filler powders and epoxy resin in an organic solvent to form a paste like composition. For conductivity measurements, a thin film of this paste was deposited on a non-conducting substrate and cured at different temperatures ranging from 100 o C to 375 o C. C For fabrication of a high-density LCC, a 0S/1P joining core such as that described in reference [8] was constructed using a copper power plane, 35 µm thick, sandwiched between layers of a dielectric material composed of silicafilled polymer. Through holes in the joining cores, formed by laser or mechanical drilling, and having diameters ranging from 50 µm to 250 µm, were filled with an electrically conductive adhesive optimized to suit the various hole diameters. The adhesive-filled joining cores were cured and cross sectioned to evaluate hole fill quality. dhesives were characterized by scanning electron microscopy (SEM) and optical microscopy to ascertain particle dispersion and interconnection mechanism. Keithley micro-ohmmeter was used for electrical characterization. Room temperature (25 o C) viscosity was measured using a MELVERN C-VOR Rheometer in oscillation mode using 50 Pa stress at 1 Hz. Heats of reaction of adhesives were studied using a differential scanning calorimeter (DSC). Practical adhesion (90 degree peel test) and tensile strength were measured using an Instron (Model 1122) and MTS tensile tester, respectively. D Figure 1: Micrographs for the top views ( and ) and cross-sectional views (C and D) of metal-coated polymer based adhesives (through hole diameter ~150 microns).

3 Results and Discussion The formation of a conduction path was observed by SEM images. Figures 1 to D shows cross sections and top views of a metal-coated polymer based adhesive. Metal coating produces a continuous metallic network. In the adhesive, the average metal-coated filler diameter is in the range of 8 µm. Filler loading was high, adjacent particles united mutually, and necking phenomena between fillers occurred; namely, a conduction path was achieved [3]. similar result was observed when metal-coated particles were replaced by silver and carbon particles. variety of carbon-based silver-filled adhesives with a mixture of nano and micro particles were studied. In nano-micro mixtures, nano particles occupy interstitial positions to improve particle-particle contact for conductivity. For the silver nanoparticles (~5 nm size), the fillers can self sinter and make a continuous conduction path. high surface area of silver nanoparticles necessitates an excess amount of solvent in order to make high loading silver paste. Figure 3: SEM micrographs for the nano-micro filled silvercarbon based conducting adhesives; () sintered at 250 C, and () sintered at (275+10) C. C Figure 2: SEM micrographs for the nano-micro filled silverbased conducting adhesives; () un-sintered at C, () un-sintered at C, and (D) sintered at C. It is well known that change in grain size has a direct impact on the electronic properties of a system. In view of this, a systematic investigation of electrical resistance behavior of silver and silver-carbon nanocomposites has been carried out, and the results of such an investigation are presented. Figure 2 shows SEM images of the specimens collected from nanocomposites with different sintering temperature, from lower temperature (Figure 2) to higher (Figure 2C). s can be seen, the main components are a mixture of nanoparticles and microparticles. The nanoparticles may contact with the adjacent ones, but the nano aggregation lengths are short, less than 10-fold of the microparticle diameter on average (Figure 2). s the sintering temperature increases, particle diffusion becomes more and more obvious. The aggregation length becomes much longer, resulting in the formation of one-dimensional jointed particle assemblies developing into a smooth continuous network (Figure 2C). Conductivity measurements show that the resistance drops 50-90% from 200 C to 275 C. In contrast, the silver-carbon nano-micro systems show a much different morphology as can be seen in Figures 3 and 3. They do not follow the same sintering mechanism as observed for the nanocomposite shown in Figures 2 and 2C, where nanoparticles sinter, grow larger particles, and eventually diffuse with microparticles to form a continuous network. For the silver-carbon system, nanoparticles sinter

4 first and form a continuous network (Figure 3), but microparticles maintain their identity, as if they didn t sinter with temperature. t higher sintering temperature (275 C), micro particles start to diffuse with the sintered nanoparticles and form a continuous smooth surface. Resistance (milliohm) Temperature ( 0 C) silver-1 10 % C 20% C 35%C silver-2 Figure 4 : Resistance as a function of temperature for carbonbased and silver-based nano-micro pastes (same size: 3 long, 1/3 wide) Conducting carbon and metal-coated polymers are typically low density systems. The reliability of the joint formed between the conductive adhesive and the metal pad to which it is mated when forming the z-interconnect structure is of utmost importance. ddition of low density carbon or metal-coated polymer will reduce the interfacial problem. variety of carbon, silver and metal-coated polymers blended with appropriate polymers and cured at ~200 o C to 275 o C for 2 hours, showed low volume resistivity, in the range of 10 4 to 10 6 ohm-cm, which is similar to that of micro-filled adhesives. Volume resistivity decreases with increasing curing temperature due to sintering of metal particles. There is a significant resistivity drop with increasing curing temperature from 200 o C to 275 o C. Figure 4 shows resistance of carbon-based and pure silver-based nano-micro conducting adhesives as a function of curing temperature. Nano-micro adhesives modified with carbon particles showed similar resistance as nano-micro filled adhesives when cured at 275 C. Conductivity measurements show that the 10-20% carbon containing adhesive showed a 90% resistance drop when cured at 275 C instead of 200 C. Resistance decreases with increasing curing temperature due to sintering of metal particles. Figure 5 shows grain growth for different nanoparticles when cured at 100 C to 275 C. For the pure 5 nm silver system, grain size increases with increasing curing temperature from 100 o C to 150 o C, and eventually converts into a smooth, continuous structure. Here, if the surface as viewed in the micrograph is visually smooth (no grain), a 10 µm grain size is assigned for purposes of plotting the data. Grain growth is more complicated for nano-micro systems. Sintering behaviors of adhesives having 5 µm particles modified by addition of (5 +30) nm, or 15 nm, or 30 nm particles were completely different from each other, but all required 240 o C for complete sintering. lthough pure 5 or 15 nm particles sinter at 200 o C, in nano-micro systems diffusion is different. Here nanoparticle to microparticle diffusion will be more important than nano-nano diffusion. Nanoparticle size up to around 30 nm is sufficient for complete diffusion at around 240 o C. ut larger particles, such as the 80 nm particle system, require at least 275 o C for complete diffusion. Table 1 summarizes sintering temperatures, and shows micrographic views of nano, micro and nano-micro systems. Figure 5 reveals a sintering temperature plot for 5 nm particles to 5 µm particles. s expected, ECs with 5 µm particles require a higher temperature for sintering. This kind of plot helps to understand particle size requirements for nano-micro systems. Grain size (micron) nm 5+30 nm 15 nm 30 nm 80 nm Temperature ( 0 C) Figure 5: () Grain growth as a function of curing temperature for nano and nano-micro systems. (5 nm = pure silver nanoparticle, all other systems are nano-micro, where nanoparticles were mixed with 5 µm particles), and () sintering temperature as a function of particle size (inset: enlarged data for particle sizes below 100 nm).

5 Table 1 : Sintering temperature for different nano and nano-micro systems. The micrograph in the table shows how a small grains structure is eventually transferred to a no-grain, continuous structure. Carbon-based adhesives sometimes show some level of flexibility. In general adhesives having high strength are generally high modulus adhesives with limited flexibility. Such adhesives having a high modulus create a high stress condition in the Z-interconnect joints due to extreme differences in the coefficient of thermal expansion (CTE) between the dielectric and the Cu pad. The result of the high stress is cracking/delamination during assembly reflow. Carbon-based adhesives with appropriate composition show some level of flexibility. variety of carbon-based and silicone based, blended, low stress adhesives were formulated. These adhesives offer low stress and moderate adhesion strength. Figure 6 shows some bendable adhesives. These adhesives show low resistance, in the range of 20 milliohms. Figure 6: Carbon-silver based (black) and silicone-silver based adhesive.

6 Filler loading (vol.%) Figure 6: CTE versus loading. CTE (ppm/ 0 C) conducting adhesives. Low Tg epoxy-based adhesives have very high Z-axis CTE, in the range of 226 ppm/ o C, and may not be good for a Z-joint. Low Tg epoxy-based conductive adhesive joints will experience high stress during assembly reflow. CTE of the subcomposites and conductive adhesives is crucial to obtaining robust, reliable joining between dielectric layers, and between the conductive paste and the opposing copper pad. CTE of the dielectric and paste materials must be close to each other to survive assembly reflow, especially high temperature lead free reflow. In the present paper, we systematically investigate package CTE for various constructions. Figure 6C: Z-axis CTE (lpha) calculated from the graph, for high Tg and low Tg epoxy-based conductive adhesives. This work also deals with Z-expansion issues between adhesives and the substrates in which they are incorporated. The effect of carbon on the CTE of adhesive joints was measured using a Q200TEM (Texas Instruments). Highly filled (~70V%) nano-micro-filled adhesive has Z-CTE of 18 ppm/ o C. ddition of polymer enhances the Z-CTE. For example, 50 vol% silver system has CTE of 41 ppm/ o C. Most of the highly filled carbon-doped samples have CTEs in the range of ppm/ o C depending on composition. highly filled metal-coated polymer system also has a low Z-CTE of 28 ppm/ o C. highly filled silver-based system has the lowest CTE for the systems investigated. s silver is replaced with lower density particles such as carbon or metal-coated polymer, the CTE of the paste increases. Figure 6 shows the variation of CTE for adhesives with varying filler content. CTE decreases with increasing filler content. dhesives fabricated from 40-55% v/v metal epoxy nanocomposites showed z-axis CTE in the range of ppm/ o C. Thermal expansion of adhesives fabricated from ~70% v/v nanocomposite showed CTE of about 18 ppm/ o C. The glass transition temperature (Tg) is an important parameter for conducting adhesives. It indicates the temperature at which the resin matrix is transformed into a soft elastic state. Figure 6C shows Z-axis CTE of low and high Tg epoxy-based Figure 7: Conducting adhesive filled PTH before () and after () thermal cycle. Conductive adhesive filled plated through holes (PTH) were investigated (see Figure 7). For high Z-CTE conducting adhesives, PTH as well as conductive adhesive will expand during thermal processing, stressing, and assembly reflow, resulting in bulging or cracks, and delamination in the joints (Figure 7). The root of this problem is the extreme Z-axis CTE mismatch between the copper plating and the conducting adhesives. This mismatch is especially extreme at assembly temperatures above the glass transition temperature (Tg) of the dielectric layers, where conducting adhesive Z-axis CTE approaches ppm/ o C, compared to 15 ppm/ o C for copper. For these kinds of structures, it is desirable to use low CTE, high Tg conducting adhesives. The Z-CTE of conducing adhesive can be reduced by adding excess filler. Conducting adhesive consisting of 60V% or higher filler are preferred as PTH fill materials to reduce Z-CTE mismatch. s a case study, mixed dielectric was used for composite structures. y alternating 2S/1P and 0S/1P cores in the lay-up prior to lamination, the conductive paste electrically connects

7 copper pads on the 2S/1P cores that reside on either side of the 0S/1P core. Two signal layers are added to the composite structure each time one adds an additional 2S/1P core and an additional 0S/1P core. structure with four signal layers composed of five subcomposites (two 2S/1P cores and three 0S/1P cores) is shown schematically in Figure 8. photograph of a composite laminate structure is shown in cross section in Figure 8. Theoretically the Z-CTE of this stack will be around 33 ppm/ºc. Optimized metal-epoxy adhesives with Z-CTE around 40 ppm/ºc were used for hole fill applications to fabricate Z-axis interconnections. s we introduced low Z-CTE dielectric materials, the predicted CTE will be higher than lower CTE dielectric (dielectric 2) but lower than higher CTE dielectric (dielectric1). The mixeddielectric composite has Z-CTE of 41 ppm/ºc. Z- CTE was measured at the region having the maximum density of pastefilled vias. Here, the measured Z-CTE is higher than predicted. This may be due to the fact that paste volume, paste CTE, and Cu-dielectric interaction were not considered in the calculation. Figure 8: Schematic () of LCC having four signal wiring planes with a stripline transmission line structure, and photograph () of actual z-interconnect LCC with vias having 55 μm diameter shown in cross section. The Z-CTE of glass cloth-reinforced dielectric composites is more complicated. Figure 9 shows various multilayer substrates consisting of Cu and modified glass-reinforced epoxy. Substrate thickness varies from 552 µm to 1656 µm. The 552 µm thin substrate has a Z-CTE of 69 ppm/ºc. The 1102 µm and 1656 µm thick laminates have Z-CTEs of 68 ppm/ºc and 81 ppm/ºc, respectively. s the laminate thickness of the core material increases from 552 µm to1655 µm, the Z-CTE increases to 81 ppm/ºc. This illustrates that the Z-CTE of a thick core is more sensitive to Cu dielectric interaction. Overall, the Z-CTE of laminate is more likely to follow the epoxy Z-CTE. Conducting adhesive with Z-CTE ~70 ppm/ºc will require more polymer, and may not be suitable for achieving high conductivity. In this case, low Z- CTE paste, close to the CTE of Cu, will be favorable. Figure 10 shows joint structures with low CTE paste as a typical representative example. Conclusions variety of micro-filled conducting adhesives modified with nano particles, carbon, and metal-coated polymer fillers were used for z-axis interconnection applications. ddition of nanoparticles reduces sintering temperatures of micro-filled conducting adhesives. Conducting adhesives having only nanoparticles will sinter at significantly lower temperature than nano-micro systems. Nanoparticles in the range of 5-30 nm size diffuse with micro particles at relatively lower temperature than 80 nm particles. ECs having particles ranging from 5-30 nm in the nano-micro system follow similar growth kinetics. Carbon, silicone and blended systems produce low stress, bendable joints. ll high Tg adhesives maintained high electrical conductivity and low Z-CTE. Carbon doping levels of 10% (wt/wt) or less are suitable for joining cores. Investigation of a variety of dielectric constructions was used to optimize package CTE. Overall, Z- CTE of paste in the range of 40 ppm/ºc or less is suitable for filled dielectrics. For glass cloth-reinforced dielectric, a Z- CTE of paste close to that of Cu is favorable. Conductive adhesives having Tg above reflow temperature and low Z- axis CTE are the most suitable for obtaining low stress Z- joints. C Figure 9: Multilayer substrates with glass cloth-reinforced pre-preg () 1656 µm thick, () 1102 µm thick, and (C) 552 µm thick.

8 Figure 11: Z-interconnect joint structure. cknowledgments The authors acknowledge the valuable contributions of S. Hurban, G. Kohut, and D. Thorne. 9. Das R. N., Egitto F. D., Markovich V. R., Nano- and Micro-Filled Conducting dhesives for Z-axis Interconnections: New direction for high-density, highspeed, organic microelectronics packaging Circuit World 2008,34(1), Rowlands M. and Das R.N., Manufacture and Characterization of a Novel Flip-Chip Package Zinterconnect Stack-up with RF Structures IMPS (International Microelectronics and dvanced Packaging Society) 40th International Symposium on Microelectronics (November11-15, 2007)pp Rowlands R. and Das R.N., Electrical Performance of an Organic, Z-interconnect, Flip-Chip Substrate 57 th Electronic Components and Technology Conference proceedings (May 29 June1, 2007)pp Kevin Knadle, Reliability and Failure Mechanisms of Laminate Substrates in a Pb-free World IPC pex 2009 (submitted). References 1. Liu, J., 1999, Conductive dhesives for Electronics Packaging, (ritish Isles: Electrochemical Publications Ltd, 1999), pp Liu, J., Rorgren, R., and Ljungkrona, L., 1995, High Volume Electronics Manufacturing Using Conductive dhesives for Surface Mounting, J. Surf. Mount Technol., Vol. 8, No2 (1995), pp Ye, L., Lai, Z., Liu, J., and Tholen,., 1999, Effect of g Particle Size on Electrical Conductivity of Isotropically Conductive dhesives, IEEE Trans. Electron, Packag., Manuf., Vol. 22,(1999), pp Yasuda, K., Kim, J. M., Rito, M., and Fujimoto, K., Joining Mechanism and Joint Property by Polymer dhesive with Low Melting lloy Filler, Int. Conf. on Electron. Packag., (2003),pp Yasuda, K., Kim, J. M., Yasuda, M., and Fujimoto, K., New Process of Self-Organized Interconnection in Packaging by Conductive dhesive with Low Melting Point Filler, Int. Conf. on Solid State Devices and Materials,(2003), pp Yasuda, K., Kim, J. M., and Fujimoto, K., dhesive Joining Process and Joint Property with Low Melting Point Filler, 3rd Int. IEEE Conf. on Polymer and dhesives in Microelec. and Photon., (2003), pp Yao, Q., and Qu, J., 2002, Interfacial versus Cohesive Failure on Polymer- Metal Interfaces in Electronic Packaging Effects of Interface Roughness, SME J. Electron. Packag., Vol.124 (2002), pp Egitto, F.D., Krasniak, S.R., lackwell, K.J., and Rosser, S.G., 2005, Z-xis Interconnection for Enhanced Wiring in Organic Laminate Electronic Packages, Proceedings Fifty-Fifth Electronic Components and Technology Conference, May 31 to June 3, 2005, Lake uena Vista, FL (IEEE, Piscataway, NJ, US),(2005), pp

Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects

Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects We take a look at micro-filled epoxy-based conducting adhesives modified with nanoparticles for z- axis interconnections, especially

More information

Fabrication and Electrical Performance of Z-axis Interconnections: An Application of Nano-Micro-Filled Conducting Adhesives

Fabrication and Electrical Performance of Z-axis Interconnections: An Application of Nano-Micro-Filled Conducting Adhesives Fabrication and Electrical Performance of Z-axis Interconnections: An Application of Nano-Micro-Filled Conducting Adhesives Voya R. Markovich, Rabindra N. Das, Michael Rowlands and John Lauffer Endicott

More information

Polymer Nanocomposites, Printable and Flexible Technology for Electronic Packaging

Polymer Nanocomposites, Printable and Flexible Technology for Electronic Packaging Polymer Nanocomposites, Printable and Flexible Technology for Electronic Packaging Rabindra N. Das, Frank D. Egitto, Bill Wilson, Mark D. Poliks, and Voya R. Markovich Endicott Interconnect Technologies,

More information

Anti-Counterfeit, Advanced Microelectronics Packaging Solutions for Miniaturized Medical Devices

Anti-Counterfeit, Advanced Microelectronics Packaging Solutions for Miniaturized Medical Devices Anti-Counterfeit, Advanced Microelectronics Packaging Solutions for Miniaturized Medical Devices Rabindra N. Das, Frank D. Egitto, and How Lin Endicott Interconnect Technologies, Inc., 1093 Clark Street,

More information

Nano-Micro Particle Filled Thermal Interface Materials: Towards Materials Development, Characterization, Assembly, and Performance Evaluation

Nano-Micro Particle Filled Thermal Interface Materials: Towards Materials Development, Characterization, Assembly, and Performance Evaluation Nano-Micro Particle Filled Thermal Interface Materials: Towards Materials Development, Characterization, Assembly, and Performance Evaluation Rabindra N. Das, Evan Chenelly, Erich Kopp, Dave Alcoe, Mark

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

Verifying The Reliability Of Connections In HDI PWBs

Verifying The Reliability Of Connections In HDI PWBs Verifying The Reliability Of Connections In HDI PWBs The stacking of via holes is used effectively in the development of high density circuits on build-up printed wiring boards (PWBs). However, when micro

More information

Building HDI Structures using Thin Films and Low Temperature Sintering Paste

Building HDI Structures using Thin Films and Low Temperature Sintering Paste Building HDI Structures using Thin Films and Low Temperature Sintering Paste Catherine Shearer, James Haley and Chris Hunrath Ormet Circuits Inc. - Integral Technology California, USA chunrath@integral-hdi.com

More information

Interconnection Reliability of HDI Printed Wiring Boards

Interconnection Reliability of HDI Printed Wiring Boards Presented in the ECWC 10 Conference at IPC Printed Circuits Expo, SMEMA Council APEX and Designers Summit 05 Interconnection Reliability of HDI Printed Wiring Boards Tatsuo Suzuki Nec Toppan Circuit Solutions,

More information

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application 2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package pplication Yoshiyuki Hakiri, Katsuhiro Yoshida, Shenghua Li, Makoto Kondoh, Shinjiro Hayashi The Dow Chemical

More information

How to select PCB materials for highfrequency

How to select PCB materials for highfrequency How to select PCB materials for highfrequency apps Find out how to simplify that choice when striving for the best tradeoff between ease of fabrication and best electrical performance. By John Coonrod

More information

New Developments in PCB Laminates. Dean Hattula, John Coonrod Rogers Corporation Advanced Circuit Materials Division

New Developments in PCB Laminates. Dean Hattula, John Coonrod Rogers Corporation Advanced Circuit Materials Division New Developments in PCB Laminates Dean Hattula, John Coonrod Rogers Corporation Advanced Circuit Materials Division Overview PCB laminate properties Thermal stability Electrical performance Summary PCB

More information

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY Steven Perng, Tae-Kyu Lee, and Cherif Guirguis Cisco Systems, Inc. San Jose, CA, USA sperng@cisco.com Edward S. Ibe Zymet, Inc. East Hanover,

More information

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY Herbert J. Neuhaus, Ph.D., and Charles E. Bauer, Ph.D. TechLead Corporation Portland, OR, USA herb.neuhaus@techleadcorp.com ABSTRACT Solder

More information

Pressure-Assisted Low-Temperature Sintering of Silver Paste as an Alternative Die-Attach Solution to Solder Reflow

Pressure-Assisted Low-Temperature Sintering of Silver Paste as an Alternative Die-Attach Solution to Solder Reflow Pressure-Assisted Low-Temperature Sintering of Silver Paste as an Alternative Die-Attach Solution to Solder Reflow Zhiye (Zach) Zhang and Guo-Quan Lu Center for Power Electronics Systems The Bradley Department

More information

ALTIUMLIVE 2018: NAVIGATING THE COMPLEXITIES OF PCB MATERIAL SELECTION

ALTIUMLIVE 2018: NAVIGATING THE COMPLEXITIES OF PCB MATERIAL SELECTION ALTIUMLIVE 2018: NAVIGATING THE COMPLEXITIES OF PCB MATERIAL SELECTION Chris Hunrath Insulectro, VP of Technology San Diego October 4 Outline 1 PCB Material Overview 2 What is the Dielectric Constant of

More information

Conductive Filament Formation Failure in a Printed Circuit Board

Conductive Filament Formation Failure in a Printed Circuit Board Create: 5/17/99 Circuit World, Vol. 25 (3), pp. 6-8, 1999. Conductive Filament Formation Failure in a Printed Circuit Board Abstract Keith Rogers, Craig Hillman, and Michael Pecht CALCE Electronic Products

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

Thermomechanical Response of Anisotropically Conductive Film

Thermomechanical Response of Anisotropically Conductive Film Thermomechanical Response of Anisotropically Conductive Film Yung Neng Cheng, Shyong Lee and Fuang Yuan Huang Department of Mechanical Engineering National Central University, Chung-li, Taiwan shyong@cc.ncu.edu.tw

More information

Sherlock 4.0 and Printed Circuit Boards

Sherlock 4.0 and Printed Circuit Boards Sherlock 4.0 and Printed Circuit Boards DfR Solutions January 22, 2015 Presented by: Dr. Nathan Blattau Senior Vice President 9000 Virginia Manor Rd Ste 290, Beltsville MD 20705 301-474-0607 www.dfrsolutions.com

More information

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging

A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging Sādhanā Vol. 33, Part 3, June 2008, pp. 251 259. Printed in India A study aimed at characterizing the interfacial structure in a tin silver solder on nickel-coated copper plate during aging D C LIN 1,

More information

High Reliable Non-Conductive Adhesives for Flip Chip CSP Applications

High Reliable Non-Conductive Adhesives for Flip Chip CSP Applications High Reliable Non-Conductive Adhesives for Flip Chip CSP Applications Myung-Jin Yim, Jin-Sang Hwang ACA/F Div., Telephus Co. 25-11, Jang-dong, Yusong-gu,, Taejon 35-71, Korea Tel.: +82-42-866-1461, Fax:

More information

Nanyang Technological University School of Materials Science & Engineering

Nanyang Technological University School of Materials Science & Engineering Final Year Project Proposal 1 3D Assembly of Nano/Micro Zirconia Particles for Enhanced Energy Damping Capacity Dr Du Zehui (duzehui@ntu.edu.sg) To develop the best possible method to assemble micro/nano-scale

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

Bonding Parameters of Anisotropic Conductive Adhesive Film and Peeling Strength

Bonding Parameters of Anisotropic Conductive Adhesive Film and Peeling Strength Key Engineering Materials Online: 5-11-15 ISSN: 1-9795, Vols. 97-3, pp 91-9 doi:1./www.scientific.net/kem.97-3.91 5 Trans Tech Publications, Switzerland Bonding Parameters of Anisotropic Conductive Adhesive

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

White Paper. Discussion on Cracking/Separation in Filled Vias. By: Nathan Blattau, PhD

White Paper. Discussion on Cracking/Separation in Filled Vias. By: Nathan Blattau, PhD White Paper Discussion on Cracking/Separation in Filled Vias By: Nathan Blattau, PhD Introduction The Knadle PTH life curve" has been used for over 15 years to characterize new materials or PTH structures,

More information

A Novel Material for High Layer Count and High Reliability Printed Circuit Boards

A Novel Material for High Layer Count and High Reliability Printed Circuit Boards A Novel Material for High Layer Count and High Reliability Printed Circuit Boards Jie Wan, Junqi Tang, Xianping Zeng Shengyi Technology Co., Ltd. No.5 Western Industry Road, North Industry District SSL

More information

A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types

A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types A Multilayer Process for 3D-Molded-Interconnect-Devices to Enable the Assembly of Area-Array Based Package Types T. Leneke and S. Hirsch TEPROSA Otto-von-Guericke University Magdeburg, Germany thomas.leneke@teprosa.de

More information

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION Frank Grano, Felix Bruno Huntsville, AL Dana Korf, Eamon O Keeffe San Jose, CA Cheryl Kelley Salem, NH Joint Paper by Sanmina-SCI Corporation EMS, GTS

More information

Flip Chip Joining on FR-4 Substrate Using ACFs

Flip Chip Joining on FR-4 Substrate Using ACFs Flip Chip Joining on FR-4 Substrate Using ACFs Anne Seppälä, Seppo Pienimaa*, Eero Ristolainen Tampere University of Technology Electronics Laboratory P.O. Box 692 FIN-33101 Tampere Fax: +358 3 365 2620

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Conductive Adhesive Applications to Imprint Circuitry

Conductive Adhesive Applications to Imprint Circuitry Conductive Adhesive Applications to Imprint Circuitry Liye Fang Department of Electrical Engineering, T. J. Watson School of Engineering and Applied Science, State University of New York at Binghamton,

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Microelectronic Materials. Catalog

Microelectronic Materials. Catalog Microelectronic Materials Catalog LORD partners with customers to leverage expertise in multiple chemistries and diverse applications to develop customized solutions. Design Without Compromise At LORD,

More information

Processor Performance, Packaging and Reliability Utilizing a Phase Change Metallic Alloy Thermal Interface System

Processor Performance, Packaging and Reliability Utilizing a Phase Change Metallic Alloy Thermal Interface System Processor Performance, Packaging and Reliability Utilizing a Phase Change Metallic Alloy Thermal Interface System Chris G. Macris, Thomas R. Sanderson, Robert G. Ebel, Christopher B. Leyerle Enerdyne Solutions,

More information

Microelectronic Materials CATALOG

Microelectronic Materials CATALOG Microelectronic Materials CATALOG LORD partners with customers to leverage expertise in multiple chemistries and diverse applications to develop customized solutions. Design Without Compromise At LORD,

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Lead Free Assembly: A Practical Tool For Laminate Materials Selection

Lead Free Assembly: A Practical Tool For Laminate Materials Selection Lead Free Assembly: A Practical Tool For Laminate Materials Selection Erik J. Bergum David Humby Isola Abstract: The impending European RoHS legislation, restricting the use of lead containing solders,

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

TEMPERATURE CYCLING AND FATIGUE IN ELECTRONICS

TEMPERATURE CYCLING AND FATIGUE IN ELECTRONICS TEMPERATURE CYCLING AND FATIGUE IN ELECTRONICS Gilad Sharon, Ph.D. DfR Solutions Beltsville, MD, USA gsharon@dfrsolutions.com Greg Caswell DfR Solutions Liberty Hill, TX, USA gcaswell@dfrsolutions.com

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

Relationship between the Conductivity of Isotropic Conductive Adhesives (ICAs) and the Lubricant Coated on Silver Filler Particles

Relationship between the Conductivity of Isotropic Conductive Adhesives (ICAs) and the Lubricant Coated on Silver Filler Particles [Technical Paper] Relationship between the Conductivity of Isotropic Conductive Adhesives (ICAs) and the Lubricant Coated on Silver Filler Particles Shigeru Kohinata*, Akari Terao*, Yosihiko Shiraki*,

More information

A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate

A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate A Cofired Bump Bonding Technique for Chip Scale Package Fabrication Using Zero X-Y Shrinkage Low Temperature Cofired Ceramic Substrate Minehiro Itagaki, Nobuhiro Hase, Satoru Yuhaku, Yoshihiro Bessho and

More information

Modeling Printed Circuit Boards with Sherlock 3.2

Modeling Printed Circuit Boards with Sherlock 3.2 Modeling Printed Circuit Boards with Sherlock 3.2 DfR Solutions September 23, 2014 Presented by: Dr. Nathan Blattau Senior Vice President 9000 Virginia Manor Rd Ste 290, Beltsville MD 20705 301-474-0607

More information

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics

Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics Development of a Fluxless Flip Chip Bonding Process for Optical Military Electronics Michael Girardi, Daric Laughlin, Philip Abel, Steve Goldammer, John Smoot NNSA s Kansas City Plant managed by Honeywell

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information

Self-Organized Interconnection Process Using Solderable ACA (Anisotropic Conductive Adhesive)

Self-Organized Interconnection Process Using Solderable ACA (Anisotropic Conductive Adhesive) Materials Transactions, Vol. 50, No. 7 (2009) pp. 1684 to 1689 Special Issue on New Functions and Properties of Engineering Materials Created by Designing and Processing #2009 The Japan Institute of Metals

More information

Environment-friendly Halogen-free Materials for PWBs

Environment-friendly Halogen-free Materials for PWBs Environment-friendly Halogen-free Materials for PWBs Yoshiyuki Takeda*/Kenichi Ikeda*/Nozomu Takano** *R & D Group, Electronic Laminates Div., Hitachi Chemical Co., Ltd. **Research & Development Center,

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

PWB Dielectric Substrates for Lead-Free Electronics Manufacturing

PWB Dielectric Substrates for Lead-Free Electronics Manufacturing PWB Dielectric Substrates for Lead-Free Electronics Manufacturing Douglas Leys and Steven P. Schaefer* Park Electrochemical Corp. Anaheim, CA *Lake Success, NY Abstract In order to safely accommodate the

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

ICDs (InterConnect Defects) What are they? Where do they come from? How can we make them go away? Doug Trobough Suixin Zhang

ICDs (InterConnect Defects) What are they? Where do they come from? How can we make them go away? Doug Trobough Suixin Zhang ICDs (InterConnect Defects) What are they? Where do they come from? How can we make them go away? Doug Trobough Suixin Zhang Definition of ICD ICDs are any defect that occurs adjacent to the innerlayer

More information

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX

c/bach, 2-B Pol. Ind Foinvasa Montcada i Reixac (Barcelona) SPAIN Tel FAX 1- What is 2- How does it work? 3- How do we make it? 4- Applications 5- Processing? WHAT IS? Thick aluminium based substrate, cladded in ED copper foil. Designed for an effective thermal dissipation and

More information

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV.

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV. Die Attach Materials Die Attach G, TECH. 2U. TECHNICAL R&D DIV. 2 Topics 3 What it is X 5,000 X 10,000 X 50,000 Si Chip Au Plating Substrate Ag Resin 4 Current Products Characteristics H9890-6A H9890-6S

More information

EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE. Universiti Kebangsaan Malaysia, 43600, Bangi, Selangor, Malaysia

EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE. Universiti Kebangsaan Malaysia, 43600, Bangi, Selangor, Malaysia EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE W. Shualdi 1, I. Ahmad 1, G. Omar 2 and A. Isnin 3 1 Department of Electrical, Electronic and System, Faculty of Engineering,

More information

THERMAL CONDUCTIVITY PERFORMANCE OF SILICON RUBBER ENHANCED BY ALUMINUM NITRIDE POWDERS

THERMAL CONDUCTIVITY PERFORMANCE OF SILICON RUBBER ENHANCED BY ALUMINUM NITRIDE POWDERS Digest Journal of Nanomaterials and Biostructures Vol. 10, No. 3, July - September 2015, p. 1003-1008 THERMAL CONDUCTIVITY PERFORMANCE OF SILICON RUBBER ENHANCED BY ALUMINUM NITRIDE POWDERS J. CHEN a,

More information

Global Journal of Engineering Science and Research Management

Global Journal of Engineering Science and Research Management DIFFUSION BONDING OF AL ALLOY USING DIFFERENT IINTERLAYERS Assist. Prof. Dr. Ahmed A. Akbar*, Samer K. Khaleel * Asst. Prof. Dr. at University of Technology, Production Engineering and Metallurgy, Iraq

More information

Keywords:- Sintered Silver, Die Attach, Tin Lead Solders, Thermal Analysis, Digital Scanning Calorimetry

Keywords:- Sintered Silver, Die Attach, Tin Lead Solders, Thermal Analysis, Digital Scanning Calorimetry International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 11, Issue 12 (December 2015), PP.68-75 Determining the Compatibility of Sintered

More information

Figure 1 Introduction Figure 2 Line Land Inner copper layer Plated through hole Fig. 1

Figure 1 Introduction Figure 2 Line Land Inner copper layer Plated through hole Fig. 1 A new type of entry board, called "FAE sheet", was developed using a unique lubricant film laminated on an aluminum sheet. The FAE sheet has significantly improved drilling qualities such as hole accuracy,

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Predicting the Reliability of Zero-Level TSVs

Predicting the Reliability of Zero-Level TSVs Predicting the Reliability of Zero-Level TSVs Greg Caswell and Craig Hillman DfR Solutions 5110 Roanoke Place, Suite 101 College Park, MD 20740 gcaswell@dfrsolutions.com 443-834-9284 Through Silicon Vias

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored Lead-free Solder Balls

Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored Lead-free Solder Balls Materials Transactions, Vol. 5, No. 3 () pp. 75 to 75 Special Issue on Lead-Free Soldering in Electronics # The Japan Institute of Metals Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored

More information

AlSiC for Optoelectronic Thermal Management and Packaging Designs

AlSiC for Optoelectronic Thermal Management and Packaging Designs for Optoelectronic Thermal Management and Packaging Designs Mark A. Occhionero, Richard W. Adams, Dave Saums Ceramics Process Systems Chartley, MA 02712-0338 Abstract Aluminum silicon carbide () metal

More information

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes

Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes Effect of Process Variations on Solder Joint Reliability for Nickel-based Surface Finishes Hugh Roberts Atotech USA Inc., Rock Hill, SC, USA Sven Lamprecht, Gustavo Ramos and Christian Sebald Atotech Deutschland

More information

Chapter 14. Designing with FineLine BGA Packages

Chapter 14. Designing with FineLine BGA Packages Chapter 14. Designing with FineLine BGA Packages S53009-1.3 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices

More information

An Assessment of the Impact of Lead-Free Assembly Processes on Base Material and PCB Reliability

An Assessment of the Impact of Lead-Free Assembly Processes on Base Material and PCB Reliability An Assessment of the Impact of Lead-Free Assembly Processes on Base Material and PCB Reliability Edward Kelley Isola Abstract Environmental regulations are forcing the elimination of lead (Pb) from electronic

More information

Low CTE / High Tg FR-4 with High Heat Resistance

Low CTE / High Tg FR-4 with High Heat Resistance Low CTE / High Tg FR-4 with High Heat Resistance Laminate: EM-827 Prepreg: EM-827B 1 Features Tg(DSC) > 170 Z direction CTE < 3.0% (50~260 ) High thermal degradation temperature: Td > 340 Excellent thermal

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING Ian McEnteggart Microelectronics Business Manager Instron Limited, Coronation Road, High Wycombe, Buckinghamshire HP12 3SY www.instron.com/microelectronics

More information

Mechanical Behavior of Flip Chip Packages under Thermal Loading

Mechanical Behavior of Flip Chip Packages under Thermal Loading Mechanical Behavior of Flip Packages under Thermal Loading *Shoulung Chen 1,2, C.Z. Tsai 1,3, Nicholas Kao 1,4, Enboa Wu 1 1 Institute of Applied Mechanics, National Taiwan University 2 Electronics Research

More information

Highly Reliable Flip-Chip-on-Flex Package Using Multilayered Anisotropic Conductive Film

Highly Reliable Flip-Chip-on-Flex Package Using Multilayered Anisotropic Conductive Film Journal of ELECTRONIC MATERIALS, Vol. 33, No. 1, 2004 Regular Issue Paper Highly Reliable Flip-Chip-on-Flex Package Using Multilayered Anisotropic Conductive Film MYUNG JIN YIM, 1,3 JIN-SANG HWANG, 1 JIN

More information

Electrical and Thermal Properties of Electrically Conductive Adhesives Using A Heat-resistant Epoxy Binder

Electrical and Thermal Properties of Electrically Conductive Adhesives Using A Heat-resistant Epoxy Binder Electrical and Thermal Properties of Electrically Conductive Adhesives Using A Heat-resistant Epoxy Binder Masahiro Inoue 1),3) and Johan Liu 1),2) (1) Department of Microtechnology and Nanoscience, Chalmers

More information

PEC (Printed Electronic Circuit) process for LED interconnection

PEC (Printed Electronic Circuit) process for LED interconnection PEC (Printed Electronic Circuit) process for LED interconnection Higher wattage LED s/ power components or their placement in higher densities, requires a larger dissipation of heat in a more effective

More information

TEST REPORT (Self-Tested Data)

TEST REPORT (Self-Tested Data) TEST REPORT (Self-Tested Data) CLIENT: IPC Validation Services 3000 Lakeside Drive Suite 105N Bannockburn, IL 60015 USA Attention: Mr. Randy Cherry +1-847-597-5606 TEST ITEMS: Peel Strength, Volume Resistivity,

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

The Effect of Reduction Capability of Resin Material on the Solder Wettability for Electrically Conductive Adhesives (ECAs) Assembly

The Effect of Reduction Capability of Resin Material on the Solder Wettability for Electrically Conductive Adhesives (ECAs) Assembly Materials Transactions, Vol. 45, No. 3 (2004) pp. 793 to 798 Special Issue on Lead-Free Soldering in Electronics #2004 The Japan Institute of Metals The Effect of Reduction Capability of Resin Material

More information

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper High Efficiency UV LEDs Enabled by Next Generation Substrates Whitepaper Introduction A primary industrial market for high power ultra-violet (UV) LED modules is curing equipment used for drying paints,

More information

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Construction Analysis Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Report Number: SCA 9703-499 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE

EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE EFFECT OF THE MICROSTRUCTURE OF Ni/Au METALLIZATION ON BONDABILITY OF FR-4 SUBSTRATE Zonghe Lai and Johan Liu The Swedish Institute of Production Engineering Research (IVF) S-431 53 Mölndal, Sweden ABSTRACT

More information

Effects of Silver Coating Covered with Copper Filler on Electrical Resistivity of Electrically Conductive Adhesives

Effects of Silver Coating Covered with Copper Filler on Electrical Resistivity of Electrically Conductive Adhesives Materials Transactions, Vol. 51, No. 1 (21) pp. 1785 to 1789 Special Issue on Lead-Free and Advanced Interconnection Materials for Electronics #21 The Japan Institute of Metals Effects of Silver oating

More information

PCB Technologies for LED Applications Application note

PCB Technologies for LED Applications Application note PCB Technologies for LED Applications Application note Abstract This application note provides a general survey of the various available Printed Circuit Board (PCB) technologies for use in LED applications.

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Effects of Carbon Black Nanoparticles on Wear Resistance of AA7020/Carbon Black Metal Matrix Composites

Effects of Carbon Black Nanoparticles on Wear Resistance of AA7020/Carbon Black Metal Matrix Composites American Journal of Materials Science 2017, 7(3): 47-52 DOI: 10.5923/j.materials.20170703.01 Effects of Carbon Black Nanoparticles on Wear Resistance of AA7020/Carbon Black Metal Matrix Composites T. Prasad

More information

Effectiveness of Conformal Coat to Prevent Corrosion of Nickel-palladium-goldfinished

Effectiveness of Conformal Coat to Prevent Corrosion of Nickel-palladium-goldfinished As originally published in the IPC APEX EXPO Conference Proceedings. Effectiveness of Conformal Coat to Prevent Corrosion of Nickel-palladium-goldfinished Terminals Michael Osterman Center for Advanced

More information

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2 Module 13: Soft Lithography Lecture 19: Soft Lithography 2 1 In the previous lecture we have introduced the concept of Soft Lithography and discussed three of the methods, which are Replica Molding, Micro

More information

Fundamentals of Sealing and Encapsulation

Fundamentals of Sealing and Encapsulation Fundamentals of Sealing and Encapsulation Sealing and Encapsulation Encapsulation and sealing are two of the major protecting functions of IC packaging. They are used to protect IC devices from adverse

More information

Electrical and reliability properties of isotropic conductive adhesives on immersion silver printed-circuit boards

Electrical and reliability properties of isotropic conductive adhesives on immersion silver printed-circuit boards DOI 10.1007/s00542-008-0678-0 TECHNICAL PAPER Electrical and reliability properties of isotropic conductive adhesives on immersion silver printed-circuit boards J. Lee Æ C. S. Cho Æ J. E. Morris Received:

More information

Two Chips Vertical Direction Embedded Miniaturized Package

Two Chips Vertical Direction Embedded Miniaturized Package Two Chips Vertical Direction Embedded Miniaturized Package Shunsuke Sato, 1 Koji Munakata, 1 Masakazu Sato, 1 Atsushi Itabashi, 1 and Masatoshi Inaba 1 Continuous efforts have been made to achieve seemingly

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes. Michael J. Carmody Chief Scientist, Intrinsiq Materials

Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes. Michael J. Carmody Chief Scientist, Intrinsiq Materials Advances in Printing nano Cu and Using Existing Cu Based Manufacturing Processes Michael J. Carmody Chief Scientist, Intrinsiq Materials Why Use Copper? Lower Cost than Silver. Print on Numerous Substrates.

More information