SUSS MICROTEC - SEMI MEMS TECH SEMINAR Mailand, 23. September Margarete Zoberbier, Product Manager Bonder

Size: px
Start display at page:

Download "SUSS MICROTEC - SEMI MEMS TECH SEMINAR Mailand, 23. September Margarete Zoberbier, Product Manager Bonder"

Transcription

1 SUSS MICROTEC - SEMI MEMS TECH SEMINAR Mailand, 23. September 2014 Margarete Zoberbier, Product Manager Bonder

2 SUSS MICROTEC AT A GLANCE Facts 60+ years of experience in semiconductor equipment Two facilities in Germany for development and manufacturing 2 One production facility in Corona, US Strong global support infrastructure with sales and service offices in USA, Singapore, Korea, Taiwan, China, Japan Strong competitive positioning: first or second in the target markets Solid financial situation More than 8,000 systems installed worldwide Key Figures 2013 Order entry: million Sales: million EBIT: million Free cash flow: 4.1 million Installed base: > 8,000 systems 655 employees worldwide

3 SEGMENTS AND MARKETS Segments Photomask Equipment Lithography Wafer Bonder Products MaskTrack Pro Exposure Systems Coater/Developer Bonding Systems Frontend Backend Process Steps Markets Photomask Cleaning Mask Manufacturing UV Projection (Stepper, Scanner) Laser Ablation Advanced Packaging Proximity Exposure (Mask Aligner) Nano Imprinting Coating Developing Bond Alignment Permanent Bonding Temporary Bonding Debonding 3D Integration MEMS LED 3

4 MASK ALIGNER PRODUCT OVERVIEW Manual Equipment (100mm, 150mm, 200mm) Automated Equipment (100/150mm, 200mm, 300mm) Integrated Lithography Clusters MJB4 MA/BA6 MA/BA8 Gen3 MA12 MA100/150e MA200 Gen3 MA300 Gen2 LithoPack 200 / 300 Support also emerging applications like UV-NIL, SCIL, bond alignment, wafer level microlens UV replication and UV-bonding (SMILE). Provide best possible cost of ownership by achieving highest throughput at superior alignment accuracy. Combine state of the art pattern recognition with excellent print results. Different product enhancements available to further optimize and advance the level of automation. SUSS Lithography Clusters are integrated coat, bake, expose, develop solutions that are especially used in volume production. 4

5 COATER/DEVELOPER PRODUCT OVERVIEW Manual Equipment Automated Equipment Integrated Lithography Clusters LabSpin 6/8 RCD8 Gamma, ACS200 Gen3, ACS300 Gen2 LithoFab 200, LithoPack 300 LabSpin systems, the smallest coater/developer system for basic applications are offered as table top systems or for integration into a wet bench. The RCD8 and Delta12 series are the perfect tools for R&D, universities and start-up companies. Highly automated, modular systems for wafers up to 300mm configurable with different modules like: spin/spray coaters, aqueous/solvent developer, primer and temperature stack. SUSS Lithography Clusters are integrated coat, bake, expose, develop solutions that especially used in high volume production for superior process stability. 5

6 WAFER BONDER PRODUCT OVERVIEW Manual And Semi-Automated Equipment (Small pieces to 200mm) Automated Equipment SB6/8e XBC300 Gen 2 XBS300 Manual Wafer Bonders are designed for R&D, pilot production and low labor cost production environments. One chamber designed for all types of bond processes including anodic, glass frit, thermocompression, polymer and adhesive bonding offers ultimate flexibility Automated Temporary Wafer Bonding, Debonding and Cleaning Systems are designed for volume production. Wide selection of process modules for temporary bonding, debonding and cleaning for greater flexibility. High throughput with smallest footprint 6

7 SUSS MICROTEC A GLOBAL PLAYER NORTH AMERICA EUROPE ASIA Yokohama, JP Hwaseong City, KR Headquarters Production Sales Sunnyvale, US Corona, US Garching, DE Sternenfels, DE Hauterive, CH Pierre-Bénite, FR Coventry, UK Singapore, SG Shanghai, CN Hsin Chu, TW 7

8 SÜSS MicroTec AG Schleissheimer Str Garching 8

CAPITAL MARKETS DAY - STERNENFELS

CAPITAL MARKETS DAY - STERNENFELS CAPITAL MARKETS DAY - STERNENFELS STRATEGY UPDATE: - SUSS 2025 - DR. FRANZ RICHTER, CEO September 13, 2018 DISCLAIMER This presentation contains forward-looking statements relating to the business, financial

More information

Tanaka Precious Metals and SUSS MicroTec to Jointly Develop Sub-micron Gold Particle Pattern Transfer and Bonding Technology

Tanaka Precious Metals and SUSS MicroTec to Jointly Develop Sub-micron Gold Particle Pattern Transfer and Bonding Technology July 12, 2011 Tanaka Precious Metals TANAKA HOLDINGS Co., Ltd. SUSS MicroTec KK Tanaka Precious Metals and SUSS MicroTec to Jointly Develop Sub-micron Gold Particle Pattern Transfer and Bonding Technology

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

ACS300 Gen2. The coat develop solution from pilot to high volume production

ACS300 Gen2. The coat develop solution from pilot to high volume production ACS300 Gen2 The coat develop solution from pilot to high volume production ACS300 Gen2 The Powerful Coating Solution for 300 mm features and benefits + + Highly flexible resist processing cluster for high-volume

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Optimizing spray coater process parameters

Optimizing spray coater process parameters Optimizing spray coater process parameters Dr. Eleonora Storace, Florian Palitschka, Dr. Dietrich Tönnies SUSS MicroTec Lithography GmbH Germany Published in the SUSS report 01/2014 E-mail: info@suss.com

More information

Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets

Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets Forecast of Used Equipment Market Based on Demand & Supply 03. 19.2013 Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets 300 mm 20 nm to 0.13 μm Computing Microprocessors

More information

SINGULUS TECHNOLOGIES. SINGULUS TECHNOLOGIES AG _ Q1 Presentation

SINGULUS TECHNOLOGIES. SINGULUS TECHNOLOGIES AG _ Q1 Presentation SINGULUS TECHNOLOGIES _ Q1 Presentation May 2017 Agenda May 12, 2017-2 - 1 Overview 2 Financials 3 SINGULUS TECHNOLOGIES Segments & New Business Areas 4 SINGULUS TECHNOLOGIES Outlook SINGULUS TECHNOLOGIES

More information

Forecast of Used Equipment Market Based on Demand & Supply

Forecast of Used Equipment Market Based on Demand & Supply Forecast of Used Equipment Market Based on Demand & Supply 2013. 06. 05 Thomas LEE Ⅰ. Market Introduction 300 200 150 _ Wafer Demand by Devices Type and Used Equipment Targets 20 to 0.13 0.13 to 0.5 >

More information

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules TFS PRODUCTION SOLUTIONS TFS Production Solutions For thin-film solar modules 2 TFS PRODUCTION SOLUTIONS MANZ AG 3 TFS PRODUCTION SOLUTIONS MANZ AG GERMAN ENGINEERING INTERNATIONALLY STAGED GLOBAL REFERENCES

More information

Innovative Laser Processing Technologies

Innovative Laser Processing Technologies Innovative Laser Processing Technologies Reinhard Ferstl Director Sales & Marketing EMEA / Asia Corning Laser Technologies September 21, 2016 2016 Corning Incorporated Corning Market Segments and Additional

More information

csi PRODUCTION SOLUTIONS csi PRODUCTION SOLUTIONS FOR CRYSTALLINE SILICON SOLAR CELLS

csi PRODUCTION SOLUTIONS csi PRODUCTION SOLUTIONS FOR CRYSTALLINE SILICON SOLAR CELLS csi PRODUCTION csi PRODUCTION FOR CRYSTALLINE SILICON SOLAR CELLS 3 PASSION FOR EFFICIENCY PHOTOVOLTAICS CONTENT Process machines and automation systems by Manz offer many advantages for customers in the

More information

300 mm Lithography and Bonding Technologies for TSV Applications in Image Sensor and Memory Products

300 mm Lithography and Bonding Technologies for TSV Applications in Image Sensor and Memory Products 1 300 mm Lithography and Bonding Technologies for TSV Applications in Image Sensor and Memory Products Margarete Zoberbier, Stefan Lutter, Marc Hennemeyer, Dr.-Ing. Barbara Neubert, Ralph Zoberbier SUSS

More information

Automation & Metrology Key technologies for high-tech production

Automation & Metrology Key technologies for high-tech production AUTOMATION & METROLOGY Automation & Metrology Key technologies for high-tech production 2 AUTOMATION & METROLOGY MANZ AG THE STANDARDS OF TOMORROW GROW FROM THE IDEAS AND ENTHUSIASM OF TODAY Manz AG Founded

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules TFS PRODUCTION SOLUTIONS TFS Production Solutions For thin-film solar modules 2 TFS PRODUCTION SOLUTIONS MANZ AG 3 TFS PRODUCTION SOLUTIONS MANZ AG GERMAN ENGINEERING INTERNATIONALLY STAGED GLOBAL REFERENCES

More information

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Inline Sputtering System for Heterojunction Cells

Inline Sputtering System for Heterojunction Cells GENERIS PVD Inline Sputtering System for Heterojunction Cells GENERIS PVD Inline Sputter System for ITO and Ag Deposition on Heterojunction Solar Cells Sputtering Technology at a Glance has delivered far

More information

Towards Industrialization of Fan-out Panel Level Packaging

Towards Industrialization of Fan-out Panel Level Packaging Towards Industrialization of Fan-out Panel Level Packaging Tanja Braun S. Voges, O. Hölck, R. Kahle, S. Raatz, K.-F. Becker, M. Wöhrmann, L. Böttcher, M. Töpper, R. Aschenbrenner 1 Outline Introduction

More information

EVG 100 Series. Resist Processing Systems

EVG 100 Series. Resist Processing Systems EVG 100 Series Resist Processing Systems EVG 100 Series Resist Processing Systems Introduction The EVG100 series resist processing systems establish new standards in quality and flexibility for photo resist

More information

Batch Wet Processing System for Heterojunction Solar Cells

Batch Wet Processing System for Heterojunction Solar Cells Batch Wet Processing System for Heterojunction Solar Cells Modular, Automated Wet Processing System for Batch Cleaning and Etching for Heterojunction (HJT) Solar Cells provides complete automated dry-in/dry-out

More information

TRANSFORMING IDEAS INTO SOLUTIONS

TRANSFORMING IDEAS INTO SOLUTIONS Corporate Overview TRANSFORMING IDEAS INTO SOLUTIONS 01_PPT_ASYS_A4_2016_03_10 1 Corporate History ASYS Automation Systems GmbH ASYS Group Asia Singapore ASYS Group China Shanghai ASYS Group Budapest ASYS

More information

FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS

FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS FLIP-CHIP TECHNOLOGIES AND GLOBAL MARKETS SMC089B July 2016 Sinha G. Project Analyst ISBN: 1-62296-329-6 BCC Research 49 Walnut Park, Building 2 Wellesley, MA 02481 USA 866-285-7215 (toll-free within the

More information

DEPOSITION SYSTEMS FOR ORGANIC ELECTRONICS. OVPD-200 Organic Vapor Phase Deposition

DEPOSITION SYSTEMS FOR ORGANIC ELECTRONICS. OVPD-200 Organic Vapor Phase Deposition DEPOSITION SYSTEMS FOR ORGANIC ELECTRONICS OVPD-200 Organic Vapor Phase Deposition ORGANIC VAPOR PHASE DEPOSITION OVPD-200 OVPD-200 Process Module Overview Most innovative method to deposit small molecule

More information

OPPORTUNITIES FOR CHEMICALS AND MATERIALS IN PV

OPPORTUNITIES FOR CHEMICALS AND MATERIALS IN PV OPPORTUNITIES FOR CHEMICALS AND MATERIALS IN PV Technical Seminar 3 Market Trends December 6, 2011 PV Japan 2011 Michael Corbett Managing Partner Linx Consulting mcorbett@linx-consulting.com Outline 1.

More information

PRODUCT INFORMATION PLB PRECISE LOCALIZATION OF PARTS IN BINS. Robot guidance systems

PRODUCT INFORMATION PLB PRECISE LOCALIZATION OF PARTS IN BINS. Robot guidance systems PRODUCT INFORMATION PLB PRECISE LOCALIZATION OF PARTS IN BINS Robot guidance systems PLB ROBOT GUIDANCE SYSTEMS PROCESS OPTIMIZATION AND COST SAVINGS FOR AUTOMATED PARTS HANDLING IN PRODUCTION Robot-automated

More information

TechCenters. From product development to best local service. General metal finishing Best local service

TechCenters. From product development to best local service. General metal finishing Best local service TechCenters From product development to best local service General metal finishing Best local service www.atotech.com What are TechCenters? To offer best local service and conduct extensive research and

More information

Trends in LED manufacturing: How to reduce LED cost of ownership SEMICON Taiwan 2012 Pascal Viaud - CTO

Trends in LED manufacturing: How to reduce LED cost of ownership SEMICON Taiwan 2012 Pascal Viaud - CTO Trends in LED manufacturing: How to reduce LED cost of ownership SEMICON Taiwan 2012 Pascal Viaud - CTO Verticle Inc Lumileds OSRAM Aixtron CREE OSRAM OSRAM Cascade Microtec 75 cours Emile Zola, F-69100

More information

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division

Corning HPFS 7979, 7980, 8655 Fused Silica. Optical Materials Product Information Specialty Materials Division Corning HPFS 7979, 7980, 8655 Fused Silica Optical Materials Product Information Specialty Materials Division HPFS 7979, 7980 and 8655 Fused Silica HPFS Fused Silica glasses are known throughout the industry

More information

Glass Bonding Solutions

Glass Bonding Solutions Glass Bonding Solutions Automated systems bring precision and savings to automotive glass bonding and sealing applications When you invest in Nordson technology, you get more than just equipment and systems.

More information

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

Mechanical Characterization of Sol-Gel Coatings Using a Nano Indenter G200

Mechanical Characterization of Sol-Gel Coatings Using a Nano Indenter G200 Mechanical Characterization of Sol-Gel Coatings Using a Nano Indenter G200 Application Note Jennifer Hay Agilent Technologies Introduction This application note presents the results of nanomechanical tests

More information

NOVEL BONDING TECHNOLOGIES FOR WAFER-LEVEL TRANSPARENT PACKAGING OF MOEMS. Herwig Kirchberger, Paul Lindner, Markus Wimplinger

NOVEL BONDING TECHNOLOGIES FOR WAFER-LEVEL TRANSPARENT PACKAGING OF MOEMS. Herwig Kirchberger, Paul Lindner, Markus Wimplinger Stresa, Italy, 25-27 April 2007 NOVEL BONDING TECHNOLOGIES FOR WAFER-LEVEL TRANSPARENT PACKAGING OF MOEMS Herwig Kirchberger, Paul Lindner, Markus Wimplinger EV Group, A-4782 St. Florian, DI Erich Thallner

More information

Quality in Electronic Production has a Name: Viscom. System Overview

Quality in Electronic Production has a Name: Viscom. System Overview Quality in Electronic Production has a Name: Viscom System Overview Successful along the whole line with Viscom Circuit board manufacturing Paste print Finish S3070 AFI-Scan S3054QS Paste print Thick film

More information

Optimize Your Process Operations by Improving Process Monitoring

Optimize Your Process Operations by Improving Process Monitoring Integral Process Ana Optimize Your Process Operations by Improving Process Monitoring All industrial processes have a common need reliable, accurate, and timely methods for analyzing process chemistry.

More information

MRSI-175Ag Epoxy Dispenser

MRSI-175Ag Epoxy Dispenser MRSI-175Ag Epoxy Dispenser Applications: Microwave & RF Modules MEMS Semiconductor Packaging Multi-Chip Modules Hybrid Circuits Optical Modules Overview The MRSI-175Ag Conductive Epoxy Dispenser handles

More information

Investor Overview November, 2008

Investor Overview November, 2008 Investor Overview November, 2008 Safe Harbor Statement This presentation contains certain information that may constitute forward-looking statements within the meaning of the Private Securities Litigation

More information

CAPITAL MARKETS DAY 2017

CAPITAL MARKETS DAY 2017 CAPITAL MARKETS DAY 2017 The automotive strategy of voestalpine Wolfgang Eder, CEO www.voestalpine.com voestalpine GROUP BUSINESS MODEL» voestalpine is a leading technology and capital goods group with

More information

EV Group Product Range

EV Group Product Range EV Group Product Range Process Development and Services With state-of-the-art application labs based at its headquarters in Austria, as well as in the U.S. and Japan, EV Group (EVG) is focused on delivering

More information

High-Rate Laser Micro Machining Systems Using Ultrashort Pulsed Lasers Uwe Wagner, 3D-Micromac AG

High-Rate Laser Micro Machining Systems Using Ultrashort Pulsed Lasers Uwe Wagner, 3D-Micromac AG High-Rate Laser Micro Machining Systems Using Ultrashort Pulsed Lasers Uwe Wagner, 3D-Micromac AG Content 1. 3D-Micromac At a glance 2. Cluster market related machine requirements 3. Solution examples

More information

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services  MEMS, IC, III-Vs. AML AML- Technical Benefits 4 Sept 2012 www.aml.co.uk AML In-situ Aligner Wafer Bonders Wafer bonding capabilities:- Anodic Bonding Si-Glass Direct Bonding e.g. Si-Si Glass Frit Bonding Eutectic Bonding

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

International Technology Roadmap for Photovoltaic (ITRPV) - 4 th Edition - Results 2012

International Technology Roadmap for Photovoltaic (ITRPV) - 4 th Edition - Results 2012 International Technology Roadmap for Photovoltaic (ITRPV) - 4 th Edition - Results 2012 Stephan Raithel, SEMI Europe, Director PV Europe 10 July, San Francisco AGENDA Introduction of the 4 th edition of

More information

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx Manipulation and control of spatial ALD layers for flexible devices Meyer Burger Netherlands Equipment manufacturer Functional inkjet printing Based in Eindhoven, the Netherlands Part of world-wide Meyer

More information

IT in the Chemical Industry - Lecture for TUM June 26th, 2007

IT in the Chemical Industry - Lecture for TUM June 26th, 2007 IT in the Chemical Industry - Lecture for TUM June 26th, 2007 Joachim J. Reichel - Senior Vice President IT CREATING TOMORROW'S SOLUTIONS AGENDA Wacker Group IT @ Wacker Future Trends in IT for chemical

More information

Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology.

Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology. Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology. 02 Simple logic Linde in semiconductor manufacturing Simple logic Linde in semiconductor manufacturing 03

More information

GENERIS PVD. Inline Sputtering System for Heterojunction Solar Cells

GENERIS PVD. Inline Sputtering System for Heterojunction Solar Cells GENERIS PVD Inline Sputtering System for Heterojunction Solar Cells GENERIS PVD Inline Sputtering System for Heterojunction Solar Cells Sputtering Competence has delivered far more than 8000 vacuum sputtering

More information

Creating Greater Capacity on Smaller Spaces. Nanofabrication and Its Impact on Sensor Manufacturing

Creating Greater Capacity on Smaller Spaces. Nanofabrication and Its Impact on Sensor Manufacturing Creating Greater Capacity on Smaller Spaces Nanofabrication and Its Impact on Sensor Manufacturing 2017-09-08 The context of Smart City and IoT Artifical Intelligence drivning the need for new smart devices

More information

TechCenters. From product development to best local service. Electronics Best local service atotech.com

TechCenters. From product development to best local service. Electronics Best local service atotech.com TechCenters From product development to best local service Electronics Best local service atotech.com What are TechCenters? To offer best local service and conduct extensive research and development (R&D),

More information

SURFACE TREATMENT TECHNOLOGY SOLUTIONS PARTNER 合理化. 知識. 技能 CLEANROOM CONVEYOR. Precision Automation 2015 JKS ENGINEERING

SURFACE TREATMENT TECHNOLOGY SOLUTIONS PARTNER 合理化. 知識. 技能 CLEANROOM CONVEYOR. Precision Automation 2015 JKS ENGINEERING CLEANROOM CONVEYOR Precision Automation 合理化. 知識. 技能 922 & 928 Series Cleanroom Conveyor The 922 and 928 series conveyor is a transport system designed for situation high reliability and flexibility, open-center

More information

Nano-imprinting Lithography Technology І

Nano-imprinting Lithography Technology І Nano-imprinting Lithography Technology І Agenda Limitation of photolithograph - Remind of photolithography technology - What is diffraction - Diffraction limit Concept of nano-imprinting lithography Basic

More information

Turn-key Production System for Solar Cells

Turn-key Production System for Solar Cells SOLARE Turn-key Production System for Solar Cells 02 Innovations for New Technologies provides technology solutions for both crystalline and thin-film highperformance solar cell platforms. Our production

More information

Custom Linear Motor Stages for High Precision Applications

Custom Linear Motor Stages for High Precision Applications Custom Linear Motor Stages for High Precision Applications High Quality and Production Solutions for OEM s aerospace climate control electromechanical filtration fluid & gas handling hydraulics pneumatics

More information

Agilent Beam Lead Attachment Methods. Application Note. Introduction. Attachment Methods

Agilent Beam Lead Attachment Methods. Application Note. Introduction. Attachment Methods Agilent Beam Lead Attachment Methods Application Note Figure 1. General setup for attaching beam lead devices Introduction This application note gives the first time user a general description of various

More information

PRODUCT INFORMATION PLR 3D LOCALIZATION OF PARTS IN CARRIERS. Robot guidance systems

PRODUCT INFORMATION PLR 3D LOCALIZATION OF PARTS IN CARRIERS. Robot guidance systems PRODUCT INFORMATION PLR 3D LOCALIZATION OF PARTS IN CARRIERS Robot guidance systems PLR ROBOT GUIDANCE SYSTEMS COST-EFFECTIVE, PRECISE ROBOT GUIDANCE Part handling is one of the most important applications

More information

Trends in Device Encapsulation and Wafer Bonding

Trends in Device Encapsulation and Wafer Bonding Trends in Device Encapsulation and Wafer Bonding Roland Weinhäupl, Sales Manager, EV Group Outline Introduction Vacuum Encapsulation Metal Bonding Overview Conclusion Quick Introduction to EV Group st

More information

Innovative Gauging. Best Practice Best Value. In-line Non-laser Non-contact. Robust. 2D/3D. Flexible. Reliable. Exact.

Innovative Gauging. Best Practice Best Value. In-line Non-laser Non-contact. Robust. 2D/3D. Flexible. Reliable. Exact. Innovative Gauging Best Practice Best Value Robust. 2D/3D. Flexible. Reliable. Exact. In-line Non-laser Non-contact 3D Quality In-line Gauging Precise - fast - robust - flexible Modern production processes

More information

safetyiq: THE NEW DIMENSIONS OF SAFETY DISCOVER INTELLIGENT PROTECTION FOR MORE PRODUCTIVITY Forward-thinking safety products, systems and services

safetyiq: THE NEW DIMENSIONS OF SAFETY DISCOVER INTELLIGENT PROTECTION FOR MORE PRODUCTIVITY Forward-thinking safety products, systems and services safetyiq: THE NEW DIMENSIONS OF SAFETY DISCOVER INTELLIGENT PROTECTION FOR MORE PRODUCTIVITY Forward-thinking safety products, systems and services safetyiq INTELLIGENT PROTECTION FOR MORE PRODUCTIVITY

More information

Innovative Roll-to-Roll Equipment & Material Development Suite

Innovative Roll-to-Roll Equipment & Material Development Suite Innovative Roll-to-Roll Equipment & Material Development Suite For Next Generation Technology from Carpe Diem Technologies and the University of Massachusetts Amherst By John Berg, Dimitur Benchev, James

More information

Laser Micromachining Workshop Salon EPMT

Laser Micromachining Workshop Salon EPMT Laser Micromachining Workshop Salon EPMT T.P. Pearsall Secretary-General, EPIC Palexpo Geneva, 7 juni 2012 EPIC : Mission EPIC: owned and operated by its industry members Promote Sustainable Growth for

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Smart Card Adhesive Excellence and Process Intelligence. Smart card

Smart Card Adhesive Excellence and Process Intelligence. Smart card Smart Card Adhesive Excellence and Process Intelligence Smart card adhesives manufacturer The worldwide leader in products for the smart card industry DELO supplies a comprehensive product range adapted

More information

SINGULUS TECHNOLOGIES SINGULUS TECHNOLOGIES AG

SINGULUS TECHNOLOGIES SINGULUS TECHNOLOGIES AG SINGULUS TECHNOLOGIES Q1-2018 Agenda Q1-2018 - 2-1 Overview 2 Financials 3 SINGULUS TECHNOLOGIES Segments & New Business Areas 4 SINGULUS TECHNOLOGIES Outlook Worldwide Connected, Close to the Markets,

More information

BU SOLAR. Energy from the sun. Solar Solutions powered by SCHOTT

BU SOLAR. Energy from the sun. Solar Solutions powered by SCHOTT Energy from the sun Solar Solutions powered by SCHOTT Global Player SCHOTT Profile and vision: SCHOTT is an international technology company with the core purpose of improving people s life and work. For

More information

E-mobility. Efficient battery electrode slurry production. Innovations for a better world.

E-mobility. Efficient battery electrode slurry production. Innovations for a better world. E-mobility. Efficient battery electrode slurry production. Innovations for a better world. E-mobility. Efficient battery electrode slurry production. The biggest challenge of our time is to ensure sustainable

More information

EQUIPMENT EQUIPMENT FOR HIGH-EFFICIENCY SOLAR CONCEPTS CRYSTALLINE SILICON PV. SCALA XEA nova XENIA

EQUIPMENT EQUIPMENT FOR HIGH-EFFICIENCY SOLAR CONCEPTS CRYSTALLINE SILICON PV. SCALA XEA nova XENIA CRYSTALLINE SILICON PV EQUIPMENT EQUIPMENT FOR HIGH-EFFICIENCY SOLAR CONCEPTS SCALA XEA nova XENIA VON ARDENNE was founded in 1991 as a spin-off of the former Manfred von Ardenne Research Institute. The

More information

SPUTTERING TECHNOLOGY. for Multiple Applications such as Solar, Display, Semiconductor & 3D-Substrates

SPUTTERING TECHNOLOGY. for Multiple Applications such as Solar, Display, Semiconductor & 3D-Substrates SPUTTERING TECHNOLOGY for Multiple Applications such as Solar, Display, Semiconductor & 3D-Substrates 02 Over 20 Years of Know-how in Physical Vapor Deposition Sputtering PECVD Evaporation SINGULUS TECHNOLOGIES

More information

Passion. Power. Productivity. Corporate Headquarters

Passion. Power. Productivity. Corporate Headquarters Corporate Headquarters Dionex Corporation 1228 Titan Way P.O. Box 3603 Sunnyvale, CA 94088-3603 Tel: (408) 737-0700 Fax: (408) 730-9403 Worldwide Sales and Service North America U.S./Canada (847) 295-7500

More information

Oerlikon Components Enabling Information Technology

Oerlikon Components Enabling Information Technology Oerlikon Components Enabling Information Technology Kurt Trippacher, CEO Oerlikon Components & Head of Oerlikon Esec Oerlikon Capital Market Days 28 Zurich, September 25-26, 28 Disclaimer This presentation

More information

SFA ENGINEERING. Aug 2011

SFA ENGINEERING. Aug 2011 SFA ENGINEERING Aug 2011 Overview Earning results Performance & prospects Appendix 1 Overview 2 Business industries No. 10 Global / No. 1 domestic display company Products and customer diversification

More information

Glass Wafer. Specification

Glass Wafer. Specification Glass Wafer Specification Glass Wafer Specification SCHOTT Thin Glass and Wafer products are the result of deep technological expertise. With a product portfolio of more than 100 optical glasses, special

More information

CERN/NA62 GigaTracKer Hybrid Module Manufacturing

CERN/NA62 GigaTracKer Hybrid Module Manufacturing CERN/NA62 GigaTracKer Hybrid Module Manufacturing Fraunhofer Institute for Reliability and Microintegration Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: Fraunhofer IZM

More information

Inorganic Pigments World market leader in iron oxide pigments

Inorganic Pigments World market leader in iron oxide pigments Inorganic Pigments World market leader in iron oxide pigments Jörg Hellwig, Head of Business Unit Inorganic Pigments Cologne, November 5 th, 2015 Inorganic Pigments at a glance Segment Performance Chemicals

More information

amtest seminar September 27 th 2016, Kranj, Slovenia

amtest seminar September 27 th 2016, Kranj, Slovenia amtest seminar September 27 th 2016, Kranj, Slovenia Oliver Stetter 01_PPT_EKRA_A4_2015_10_27 1 EKRA Headquarter page 2 Location: Founded: Managing Directors: Employees: Bönnigheim 1946 Werner Kreibl Klaus

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Keysight Technologies Laser Heated Tip and High Temperature Stage for G200 Nano Indenter. Data Sheet

Keysight Technologies Laser Heated Tip and High Temperature Stage for G200 Nano Indenter. Data Sheet Keysight Technologies Laser Heated Tip and High Temperature Stage for G200 Nano Indenter Data Sheet Overview The Nano Indenter G200 from Keysight Technologies, Inc. is the world s most flexible, and user-friendly

More information

Investor Presentation

Investor Presentation Investor Presentation Michael Willome, Group CEO Baader Helvea Swiss Equities Conference Content Group overview & priorities Page 3 Segment performance, sales trend & outlook Page 10 Appendix: Leadership

More information

Leverage digitalization at the operational level with self-service analytics at ARLANXEO

Leverage digitalization at the operational level with self-service analytics at ARLANXEO Leverage digitalization at the operational level with self-service analytics at ARLANXEO Presented by Hermann Schuster, Arlanxeo Use-case by Jeroen De Wolf, TrendMiner Leverage Digitalization at the Operational

More information

PRODUCT INFORMATION. Safe Portal Solutions FUNCTIONAL SAFETY SERVICES FROM SICK FLEXIBLE AND EFFICIENT PORTAL SAFEGUARDING.

PRODUCT INFORMATION. Safe Portal Solutions FUNCTIONAL SAFETY SERVICES FROM SICK FLEXIBLE AND EFFICIENT PORTAL SAFEGUARDING. PRODUCT INFORMATION Safe Portal Solutions FUNCTIONAL SAFETY SERVICES FROM SICK FLEXIBLE AND EFFICIENT PORTAL SAFEGUARDING Safety solutions PORTAL SAFEGUARDING MATERIAL THROUGHPUT IN HAZARDOUS AREAS Automated

More information

Porter. CDG020D vacuum gauge. Big performance - small size Rely on our cost effective solution for precise measurement after easy installation

Porter. CDG020D vacuum gauge. Big performance - small size Rely on our cost effective solution for precise measurement after easy installation Visionary sensor technology Porter CDG2D vacuum gauge Big performance - small size Rely on our cost effective solution for precise measurement after easy installation Porter CDG2D vacuum gauge The INFICON

More information

Cost Comparison of Fan-out Wafer-Level Packaging to Fan-out Panel- Based Packaging

Cost Comparison of Fan-out Wafer-Level Packaging to Fan-out Panel- Based Packaging Cost Comparison of Fan-out Wafer-Level Packaging to Fan-out Panel- Based Packaging Chet Palesko, Amy Lujan SavanSys Solutions LLC 10409 Peonia Ct. Austin, TX 78733 Ph: 512-402-9943 chetp@savansys.com,

More information

AMERICAS Tel or Tel CHINA, SHENZHEN Tel

AMERICAS Tel or Tel CHINA, SHENZHEN Tel www.uic.com email: universal@uic.com AMERICAS Tel. 1-800-432-2607 or Tel. +1-607-779-7522 CHINA, SHENZHEN Tel. +86-755-2685-9108 CHINA, SHANGHAI Tel. +86-21-6495-2100 EUROPE Tel. +36-23-445-500 2010 Universal

More information

25-26 October Suntec Singapore Convention & Exhibition Centre Concourse [Level 3]

25-26 October Suntec Singapore Convention & Exhibition Centre Concourse [Level 3] 25-26 October Suntec Convention & Exhibition Centre Concourse [Level 3] anexus www.anexuscorp.com Booth 11-14 anexus is the only established distributor, service provider and application center for functional

More information

Boost Your Yield Get more out of Inspection Inspection-Systems for Plastic Film and Sheets 100% Optical Web Inspection.

Boost Your Yield Get more out of Inspection Inspection-Systems for Plastic Film and Sheets 100% Optical Web Inspection. Boost Your Yield Get more out of Inspection Inspection-Systems for Plastic Film and Sheets 100% Optical Web Inspection The Winning Way We deliver technology for yield management ISRA VISION: Advanced technology

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

Rhombix TM. Series Protein Crystallization Solutions. More insight and less mystery in crystallization. Analyze Detect Measure Control

Rhombix TM. Series Protein Crystallization Solutions. More insight and less mystery in crystallization. Analyze Detect Measure Control Rhombix TM Series Protein Crystallization Solutions More insight and less mystery in crystallization Analyze Detect Measure Control THE RHOMBIX SYSTEM is unique in the way it integrates experimental details

More information

The Future of Vacuum Technology Sustainable Growth

The Future of Vacuum Technology Sustainable Growth The Future of Vacuum Technology Sustainable Growth Thomas Babacan, CEO Oerlikon Vacuum Oerlikon Capital Market Days 2008 Zurich, September 25-26, 2008 Disclaimer This presentation is based on information

More information

FAST AND COST EFFICIENT MASKING PROCESS? THIS IS HOW IT S DONE

FAST AND COST EFFICIENT MASKING PROCESS? THIS IS HOW IT S DONE FAST AND COST EFFICIENT MASKING PROCESS? THIS IS HOW IT S DONE www.speedmask.eu What is SpeedMask? Why SpeedMask? SpeedMask protects against? SpeedMask is an efficient masking solution from Dymax. It cures

More information

MANUFACTURING EXECUTION SYSTEM

MANUFACTURING EXECUTION SYSTEM MANUFACTURING EXECUTION SYSTEM Critical Manufacturing MES, a comprehensive, proven and innovative software suite, empowers operations to move into future visions such as Industry 4.0. Compete better today

More information

sussreport ISSUE 2016

sussreport ISSUE 2016 sussreport ISSUE 2016 The customer magazine of suss microtec INDEX Editorial 03 Dr. Franz Richter CEO, SÜSS MicroTec AG IN THE SPOTLIGHT 04 New Excimer Laser-Based Dual Damascene Processes for High I/O

More information

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze Semicon Europa 2011. Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze 1 Export driven, medium-sized, innovative. Solutions in Optics High Tech in Glass Legal form:

More information

Water-borne pressure sensitive adhesives

Water-borne pressure sensitive adhesives Arkema Coating Resins AMERICAS Water-borne pressure sensitive adhesives Optimize Performance and Value with ENCOR Latexes More choice for Water-borne Pressure Sensitive Adhesives Finding the right adhesive

More information

Specifications TRANSPORT WAFER CARRIERS. Safely protect and transport 300 mm wafers

Specifications TRANSPORT WAFER CARRIERS. Safely protect and transport 300 mm wafers TRANSPORT WAFER CARRIERS Safely protect and transport 300 mm wafers Overview Entegris transport wafer carriers allow the transport and storage of 300 mm wafers in a more traditional, open cassette format.

More information

1. Introduction - Daiichi Jitsugyo Inc. (DJK) 2. Introduction - Daiichi Jitsugyo (America) (DJA) 3. Equipment Line-up for Battery Cell Manufacturing

1. Introduction - Daiichi Jitsugyo Inc. (DJK) 2. Introduction - Daiichi Jitsugyo (America) (DJA) 3. Equipment Line-up for Battery Cell Manufacturing Property of: Daiichi Jitsugyo (America) Inc., 2012 1. Introduction - Daiichi Jitsugyo Inc. (DJK) 2. Introduction - Daiichi Jitsugyo (America) (DJA) 3. Equipment Line-up for Battery Cell Manufacturing 4.

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Suss MicroTec. Wafer Bonding Process Manual. Suss MicroTec Applications Group

Suss MicroTec. Wafer Bonding Process Manual. Suss MicroTec Applications Group Suss MicroTec Wafer Bonding Process Manual Suss MicroTec Applications Group CONTENTS CONTENTS 1 Introduction 2 Overview 3 Anodic Bonding 3.1 Typical process sequence 3.1.1 Pre-bond cleaning/preparation

More information