Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography

Size: px
Start display at page:

Download "Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography"

Transcription

1 University of Colorado, Boulder CU Scholar Chemical & Biological Engineering Graduate Theses & Dissertations Chemical & Biological Engineering Spring Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography Ian Patrick Campbell University of Colorado Boulder, Follow this and additional works at: Part of the Nanoscience and Nanotechnology Commons Recommended Citation Campbell, Ian Patrick, "Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography" (2014). Chemical & Biological Engineering Graduate Theses & Dissertations This Dissertation is brought to you for free and open access by Chemical & Biological Engineering at CU Scholar. It has been accepted for inclusion in Chemical & Biological Engineering Graduate Theses & Dissertations by an authorized administrator of CU Scholar. For more information, please contact

2 Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography by Ian Patrick Campbell B.S., Colorado School of Mines, 2008 A thesis submitted to the Faculty of the Graduate School of the University of Colorado in partial fulfillment of the requirement for the degree of Doctor of Philosophy Department of Chemical and Biological Engineering 2014

3 This thesis entitled: Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography written by Ian Patrick Campbell has been approved for the Department of Chemical and Biological Engineering Committee Chair: Assistant Professor Mark Stoykovich Professor Jeffrey Stansbury Date The final copy of this thesis has been examined by the signatories, and we Find that both the content and the form meet acceptable presentation standards Of scholarly work in the above mentioned discipline.

4 Abstract Campbell, Ian Patrick (Ph.D., Chemical and Biological Engineering) Merging Bottom-Up with Top-Down: Continuous Lamellar Networks and Block Copolymer Lithography Thesis directed by Assistant Professor Mark P. Stoykovich Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. This bottom-up process relies on the parallel self-assembly of macromolecules composed of covalently linked, chemically distinct blocks to generate periodic nanostructures. Among the myriad potential morphologies, lamellar structures formed by diblock copolymers with symmetric volume fractions have attracted the most interest as a patterning tool. When confined to thin films and directed to assemble with interfaces perpendicular to the substrate, twodimensional domains are formed between the free surface and the substrate, and selective removal of a single block creates a nanostructured polymeric template. The substrate exposed between the polymeric features can subsequently be modified through standard top-down microfabrication processes to generate novel nanostructured materials. Despite tremendous progress in our understanding of block copolymer self-assembly, continuous two-dimensional materials have not yet been fabricated via this robust technique, which may enable nanostructured material combinations that cannot be fabricated through bottom-up methods. iii

5 This thesis aims to study the effects of block copolymer composition and processing on the lamellar network morphology of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and utilize this knowledge to fabricate continuous two-dimensional materials through top-down methods. First, block copolymer composition was varied through homopolymer blending to explore the physical phenomena surrounding lamellar network continuity. After establishing a framework for tuning the continuity, the effects of various processing parameters were explored to engineer the network connectivity via defect annihilation processes. Precisely controlling the connectivity and continuity of lamellar networks through defect engineering and optimizing the block copolymer lithography process thus enabled the top-down fabrication of continuous twodimensional gold networks with nanoscale properties. The lamellar structure of these networks was found to confer unique mechanical properties on the nanowire networks and suggests that materials templated via this method may be excellent candidates for integration into stretchable and flexible devices. iv

6 Acknowledgements My graduate career has followed a tortuous pathway to completion. I would foremost like to thank Mark Stoykovich for giving me the opportunity to join his research group. His hard work, advice, patience, and confidence were invaluable in my academic career. He has always done everything he can to support his research group and every member owes him a debt of gratitude for his work to support our education and careers. I would also like to thank my committee members: Jeff Stansbury, Dan Schwartz, Yifu Ding, and Y.C. Lee for their contributions and assistance. Many current and past members of the Stoykovich research group have had a positive impact on my graduate career. I have had the privilege of working with three particularly hardworking undergraduate students: Gawain Lau, Soichi Hirokawa, and Connor McMahan, who each made tremendous contributions to our understanding of the block copolymer lithography process. Chunlin He and Marc Vera provided valuable processing assistance and input. Katherine Rice was a tremendous mentor and friend during my entire graduate career. The work contained herein was supported by the Colorado Nanofabrication Laboratory (CNL) and Nanomaterials Characterization Facility (NCF) and I appreciate the hard work of the staff of those facilities, particularly the work of Natalia Varaksa and Jan van Zeghbroeck. Without the support of my family, none of this would have been possible. My parents, Bob and Cis, have always known that I would achieve great things and it is a wonderful feeling to share this accomplishment with them. My wife, Maria, has been a constant source of inspiration and taught me what it really means to work hard. Without her, I know that I would not have had success in graduate school. v

7 Table of Contents Title Page Signature Page Abstract... III Acknowledgements... V Table of contents... VI List of figures... VIII Chapter 1: Introduction... 1 Background... 4 Scope Chapter 2: Network Connectivity And Long-Range Continuity Of Lamellar Morphologies In Block Copolymer Thin Films Chapter 3 - Processing Approaches For The Defect Engineering Of Lamellar-Forming Block Copolymers In Thin Films Chapter 4 - Topologically Distinct Lamellar Block Copolymer Morphologies Formed By Solvent And Thermal Annealing Chapter 5 Continuous Metal Networks Fabricated Through Lamellar Block Copolymer Lithography Chapter 6 Stretchable Nanomaterials Templated By Lamellar-Forming Block Copolymers vi

8 Chapter 7 Current Collaborations And Future Directions Homopolymer Molecular Weight Effects on Lamellar Network Topology Anti-reflective Silicon Surfaces through Etched Lamellar Nanostructures Chemoepitaxy of Randomly Oriented Block Copolymers Stretchable Piezoelectric Nanomeshes Bibliography Appendix vii

9 List of Figures Chapter 1 Figure 1: Block Copolymer Phase Diagram... 6 Figure 2: Interfacial Curvature Diagram... 8 Figure 3: Packing Frustration... 9 Figure 4: Interfacial Curvature Calculations Figure 5: Homopolymer Redistribution Figure 6: Asymmetric Phase Diagram Figure 7: Cylindrical and Lamellar Orientations for Nanolithography Figure 8: Directed Self-Assembly of Lamellar Block Copolymers into Device Features Figure 9: Graphoepitaxy and Density Multiplication Figure 10: Directed Self-Assembly by Sparse Chemical Patterns Chapter 2 Figure 11: BCP Network Analysis Figure 12: Block Copolymer Network Continuity Figure 13: Branch and Endpoint Densities for Lamellar Blends Figure 14: Continuity Model Figure 15: Network Size Distribution Figure 16: Lamellar Curvature Distribution Chapter 3 Figure 17: Sample Continuity Map Figure 18: Lamellar Defect Types Figure 19: Thickness-Dependent Lamellar Network Properties Figure 20: Lamellar Persistence Length Figure 21: Degree of Polymerization Dependent Lamellar Network Properties Figure 22: Annealing Time-Dependent Lamellar Network Properties Figure 23: Annealing Temperature Dependent Lamellar Network Properties Chapter 4 Figure 24: Thermal and Solvent Annealing Morphology Comparison Figure 25: Composition Dependent Annealing Method Comparison Figure 26: Thermal and Solvent Annealing Morphology Comparison viii

10 Figure 27: Thermal and Solvent Annealing Persistence Lengths Chapter 5 Figure 28: Continuous Nanomesh Fabrication Scheme Figure 29: Gold Nanowire Networks Figure 30: Gold Nanowire Sheet Resistances Figure 31: Gold Nanowire Optical Properties Figure 32: Simulated Nanowire Network Transport Figure 33: Modeled Network Composition and Defectivity Chapter 6 Figure 34: Nanowire Mechanics Figure 35: Stretchable Nanomaterial Process Flow Figure 36: Deformed Nanowires on Rigid Substrates Figure 37: Nanowire Transfer to Plastic Substrates Figure 38: Electrical Response to Strain and Optical Properties Figure 39: Nanowire Undercut Process Chapter 7 Figure 40: Effect of Homopolymer Molecular Weight on Network Topology Figure 41: Simulation of BCP Pattern AR Structure Figure 42: Experimental Reflectance Using Block Copolymer Nanostructuring Appendix Figure 43: Large Area BCP Images Figure 44: Large Area BCP Images Figure 45: Fast Fourier Transforms of Pure Block Copolymers Figure 46: Evolution of Solvent Annealed Films upon Thermal Annealing Figure 47: Intensity Profilometry to Determine Nanowire Width ix

11 Chapter 1: Introduction The transistor can be listed as one of the most important inventions of the 20 th century and its miniaturization has ushered in the information age. Starting with the first macroscopic transistors, these critical components at the heart of every integrated circuit have halved in size approximately every 18 months. This trend was initially observed by Intel co-founder Gordon Moore in 1965 and has been dubbed Moore s Law. Moore s Law has held since 1965, leading to current state of the art transistors at the 22 nm size scale. While Moore s Law may not continue in perpetuity (Moore himself thought his forecast would hold for 10 years), seemingly every estimate since 1965 has predicted it will hold around ten more years. The innovation of the semiconductor industry has been seemingly limitless and the success of Moore s forecast has reformulated his prediction as a self-fulfilling goal for the entire industry. The International Technology Roadmap for Semiconductors (ITRS) expects that the size of individual components in integrated circuits will cross the 5-7 nm threshold by 2020, however, the ITRS recognizes that new technologies will need to be commercialized to enable this size reduction. While Moore s Law as originally defined would call for further size reductions, reformulating the forecast in terms of computing power is a better predictor for future performance. Recent trends in the industry have begun to implement three-dimensional structure into integrated circuits, allowing components to be stacked to increase the density of features in a given area and increasing the voltage control over logic gates. The guidelines thus far set forth by the ITRS have indicated that 5 nm will be the ultimate patterning limit before fully three-dimensional structures, multi-state computing, new architectures, and new materials are explored to fulfill Moore s Law, therefore, patterning at the 5 nm scale is one of the most important challenges facing the semiconductor industry. 1 1

12 In general terms, lithography utilizes chemical processes to transfer an image and the semiconductor industry has leveraged light-based chemical processes to pattern devices. This is known as photolithography and is advantageous because it can be performed over large areas in parallel, which enables rapid device fabrication. Photolithography is based on the exposure of light-sensitive materials (i.e. photoresist) to a specific wavelength of light through a mask, rendering them either soluble or insoluble in exposed areas to a selective solvent. Partial removal of the light-sensitive material exposes the patterned substrate for modification through additive or reductive processing. Lithography is the critical step in integrated circuit fabrication and patterning smaller features is oftentimes the limiting process for miniaturization. Light with a wavelength of 193 nm is currently used to pattern integrated circuit features, and although the 22 nm features currently patterned are much smaller than was originally thought possible for this method, the 5 nm threshold is sufficiently below the diffraction limit that new processes are in development to complement or replace current methods. 2 Once an appropriate method for patterning 5 nm features is found, the transition to multi-state materials from a two-state system and three-dimensional integrated circuit fabrication ensure that the new lithographic technology will be relevant for many years. Block copolymer self-assembly is one of the many competing technologies for patterning 5 nm features Block copolymers are macromolecules composed of two constituents; a homogenous chain of a single polymer covalently linked to another homogenous polymer chain. When the two components of a block copolymer are sufficiently incompatible, the blocks will phase-separate to form periodic nanostructures. 13 Until recently, most block copolymer research focused on the macroscopic properties of these nanostructured materials to impart advantageous properties, such as toughness, compared to unstructured polymeric materials. In the early 1990s, 2

13 however, simultaneous advances in the understanding of the phase behavior, new approaches for synthesizing block copolymer systems, advanced structural characterization methods, and observing the response of block copolymers to external fields generated interest for harnessing block copolymers as a tool for patterning nanostructured materials. 3 Foremost among the advantages for block copolymers as a next generation lithographic tool is the size scale of the nanostructuring, which is commonly between 10 and 50 nm, although recent developments in copolymer chemistry have enabled nanostructuring at the 5 nm scale. 14 Secondly, block copolymer self-assembly is compatible with high-throughput processes because pattern generation occurs in parallel over arbitrarily large areas. Finally, chemistries have been developed that allow the selective removal of a single copolymer component to create a nanostructured template similar to 15, 16 patterned photoresist. Just as advances in the semiconductor industry have enabled a wide array of related devices to reach market, advances in block copolymer lithography driven by the incentive to pattern at the 5 nm length scale may lead to new applications incorporating block copolymer technology. Thus far, thin film block copolymer research has focused on applications related to patterning integrated circuits and neglected devices that may be patterned using randomly oriented block copolymers. This dissertation will focus on the successful introduction of block copolymer lithography into devices based upon randomly oriented polymer structures, although many fundamental advances for controlling the randomly-oriented structure have strong implications for defect-free patterning of integrated circuits or other devices that require low defect densities. The work herein provides the first examples of devices based on randomly oriented block copolymers through an approach that blends fundamental polymer physics and top-down microfabrication techniques. The topdown fabrication techniques enable a wide variety of unique material combinations that may be 3

14 inaccessible to bottom-up fabrication and the dynamics of self-assembly are amenable with rapid industrial fabrication of nanostructured devices. This dissertation first focuses on the physics of block copolymer self-assembly, which are of paramount importance for controlling the topology and device characteristics of randomly oriented block copolymers. Background information related to block copolymer physics is provided within this chapter to facilitate a complete understanding of the phenomena observed and to provide context for the significance of our contributions. This chapter will then detail past advances in the field of nanopatterning using block copolymers and illustrates the novelty of our approach for fabricating nanomaterials based on block copolymer lithography. We will then discuss our contributions to the understanding of the physics of block copolymer self-assembly and their application in the fabrication of devices templated by randomly oriented block copolymers. Background The morphology of self-assembled block copolymers is dependent on the product of the Flory-Huggins interaction parameter (χ) and the degree of polymerization (N). 13 For diblock copolymer systems, the interaction parameter is a measure of the incommensurability between the two components of the block copolymer and greater incommensurability corresponds to increased interaction energy between the block copolymer domains. 13 The degree of polymerization is a simple measure of the number of interactions between blocks, with increased interaction leading to higher system energy. These two parameters are generally expressed as the product χn, because theoretical modeling of block copolymer phase behavior can be easily simplified into expressions dependent upon χn and the volume fraction (f) of each block. These models have proven successful at predicting the phase behavior for a wide variety of block copolymer chemistries and molecular 4

15 weights, suggesting that the physics of block copolymer phase separation is dominated by macromolecular behavior rather than atomic details. 17 High interaction energy between blocks from greater values of χ or N increases the driving force for separation of the two blocks and the likelihood of phase segregation. The entropy of mixing between the blocks opposes separation and there is a critical value of χn that must be reached before phase separation occurs. This critical value of χn is also known as the orderdisorder transition (ODT) because it corresponds to the change from a disordered, well-mixed system, to a microphase separated block copolymer with regular periodic structures. 18 Theoretical calculations have estimated this critical value of χn for a symmetric diblock copolymer to be ~10.5, although experimental systems usually possess critical values of χn slightly higher than this value. 18 The ODT can be seen in the theoretical phase diagram shown in Figure 1a, and corresponds to the lower boundary of the ordered phases. As the volume fraction of the two blocks becomes asymmetric, the ODT shifts to higher and higher values of χn, with extremely high values of χn (~40) required for phase separation at a volume fraction of ~0.90 for either component. After phase separation occurs, there are a number of energies that contribute to the resulting width and spontaneous curvature of the interface. Polymer molecules have a tendency to elongate or compress in accordance with their radius of gyration and each domain of a block copolymer will have a radius of gyration, pinned at one end to the interface, and the molecular domains will change shape in response to environmental driving forces. 19, 20 As an example to illustrate these energies, think of each domain of a block copolymer as a model spring, with one end fixed at the 5

16 interface, the other free to move in accordance with the environment. The standard radius of gyration can be considered the relaxed state of the spring. When stretched, the spring elongates and becomes narrower. When compressed, the spring becomes shorter and takes on a wider configuration. In this example, the spring s profile on the interface changes as it is stretched or compressed. Block copolymers will seek to minimize their free energy, and will seek to minimize the interfacial energy by reducing the area per molecule through elongation of the polymer chain. The interfacial area per molecule will decrease with greater penalties for interaction (high χn), effectively stretching the polymer chain. At extremely high energies, in what is called the strong segregation limit (SSL), the polymer chains will be perfectly straight in order to completely minimize the interfacial area. 21 For real systems, the interfacial area for each molecule will balance the stretching energy, which favors large Figure 1: Block Copolymer Phase Diagram a) Theoetrical phase diagram for diblock copolymer selfassembly based on the Flory- Huggins interaction parameter (χ), the degree of polymerization (N), and the volume fraction (f). The solid lines correspond to order-order transitions. 13 b) Diagrams of the spherical (S), cylindrical (C), gyroid (G), and lamellar (L) phases. 6

17 interfacial area, and the interfacial interaction energy, which favors small interfacial area. When placed in terms of block copolymer physics, the relationship between chain elongation and interfacial takes on the form of equation In equation 1, the interfacial area is represented by Σ, a represents the statistical segment length (a measure of the unperturbed length of a segment), and ρo represents the specific volume. The first term of equation 1 represents the elongation energy of a spring-like object. The second term is the interfacial energy term, which can be seen to depend solely on χn. 1/ 2 4 N 3 8 oa N 1/ 6 For symmetric blocks, the lowest energy state corresponds to a completely flat interface because each block contributes equal stretching energy. However, as the blocks become asymmetric, their contributions to the stretching energy of the system are imbalanced and the longer block contributes a larger fraction of the total stretching energy. With asymmetric blocks, the system can reduce the stretching energy of the longer block by creating spontaneous interfacial curvature towards the shorter block. This relaxation in the configuration of the longer block is counterbalanced by an elongation (stretching) of the shorter block due to confinement. However, the total system energy is reduced because of the imbalanced contributions to spring energy. A diagram to illustrate this effect is shown in Figure 2; as the B-block increases in the length, the interface curves towards the A-block, leading to reduced length of the B-block and increased length of the A-block. When arranged with other block copolymers along an interface, the A- and B-blocks form polymer brushes whose thicknesses are proportional to χn and the volume fraction of each block. 23 7

18 Interfacial energy of the diblock system drives the system to minimize the total interfacial area, but is constrained by the volume fraction of each domain. Thomas and coworkers found that this constraint favors interfaces of constant curvature. 24 However, the spring-like macromolecules favor distributing the stretching energy equally among all polymer chains, leading to constant polymer brush thickness. Periodic microstructures of constant brush thickness are, in general, unable to simultaneously accommodate these tendencies and will form an intermediate structure that balances a drive for minimizing the total interfacial energy and constant polymer domain thickness. The inability of the system to simultaneously meet these demands at all locations is known as packing frustration, and can be quantified using the standard deviation of interfacial curvature from the mean. 25 It is apparent from Figure 1a that a wide variety of block copolymer morphologies can be achieved by varying the volume fraction of each block and χn, subject to the constraints of spontaneous interfacial curvature, minimizing interfacial area, and the resulting packing frustration. Additionally, the block copolymers must adopt a space-filling structure and are assumed to be incompressible. The block copolymer morphologies labeled in the phase diagram of Figure 1a are graphically shown in Figs AA1b-e. Starting with the zero curvature lamellar Figure 2: Interfacial Curvature Diagram For a flat interface, the area per chain remains constant when moving away from the interface. For a chain on the outside of a curved interface, the area per chain increases away from the interface and increases that chain s contribution to the entropy of the system. 23 8

19 morphology shown in Figure 1b, the interfacial curvature between the two domains becomes progressively higher as the blocks become more asymmetric, forming the gyroid phase, cylindrical phase, and spherical phase shown in Figures 1c-e, respectively. 26 Packing frustration is illustrated within the cylindrical phase in Figure 3 by displaying cylindrical unit cells of uniform interfacial curvature, which minimizes interfacial energy, and uniform domain width, which minimizes stretching energy. When in equilibrium, the resulting interface will be a compromise between these two extremes. The equilibrium morphologies on the phase diagram are capable of relieving packing frustration to stabilize the nanostructures, but additional morphologies with high degrees of packing frustration, such as the double-diamond and perforated lamellar, have been experimentally observed The block copolymers capable of forming double-diamond and perforated lamellar structures have compositions similar to that of the gyroid, and special processing conditions were able to trap the structures before they decomposed to the more stable gyroid. 31 Calculations by Matsen and Bates are presented in Figure 4 and show that the packing frustration associated with the double diamond and perforated lamellar structures makes them a less favorable morphology than the Figure 3: Packing Frustration Packing frustration results from the system s inability to simultaneously minimize the enthalpic energy by generating a structure of uniform interfacial curvature and maximizing the system energy by adopting uniform domain widths. The resulting structure is a compromise between these two extremes. 25 gyroid because the standard deviation of their interfacial curvature is a larger fraction of the mean curvature compared to the gyroid. It is qualitatively apparent from the wide range of colors that the double diamond is an especially 9

20 unstable morphology, but that the perforated lamellar morphology is only slightly unfavored compared to the gyroid. However, systems at equilibrium will adopt the lowest energy conformation, and the packing frustration associated with the perforated lamellar system destabilizes this morphology and drives the system into the gyroid structure. 28 Packing frustration can be relieved by filling the space which otherwise forces the chains to elongate. The most common method for relieving packing frustration is the addition of homopolymer to either the majority or minority domain of the block copolymer. 32, 33 During selfassembly, homopolymers will migrate through domains to locations where they are able to minimize the free energy of the nanostructure by relieving packing frustration. The homopolymers segregate from the block copolymer in these regions and fill the volume, allowing the chains to relax and reducing packing frustration. This occurs whenever the domain spacing is variable and will almost exclusively occur in places where the domain spacing is greater than the average. Homopolymer blending is capable of alleviating the packing frustration associated with the double-diamond and perforated lamellar structures, allowing these morphologies to become thermodynamically stable. 31 The double-diamond morphology is only stabilized by homopolymer addition in the minority domain, whereas the opposite is true in the perforated lamellar morphology. In fact, calculations have theorized that both the double-diamond and perforated lamellar morphologies can be favored over the gyroid structure given the addition of sufficient homopolymer. These complex morphologies are excluded from the pure block copolymer phase diagram in Figure 1, however, because they require the addition of homopolymers, which creates a multi-dimensional phase diagram dependent upon block copolymer composition, χn, homopolymer volume fraction, and homopolymer molecular 10

21 Figure 4: Interfacial Curvature Calculations Interfacial curvature, H, distributions for the cylindrical, gyroid, perforated lamellae and double-diamond morphologies. The green patches on the schematic diagrams to the left indicate elementary interfacial units. The curvature distribution over each unit is contoured using the scale above and the standard deviations are shown to the right of the interfacial distributions. Color variability corresponds to increased standard deviation of curvature and additional packing frustration

22 weight. The importance of blending to relieve packing frustration was also demonstrated by Stoykovich et al. using chemically patterned surfaces with sharp corners. Their theoretical homopolymer distribution is shown in Figure 5 and showed greatly increased homopolymer densities in the corner of the structures to relieve the packing frustration associated with longer domain spacing. 34 The morphology of block copolymer systems is ultimately driven by entropy. While the energy of an elongated spring is an excellent example for demonstrating why specific morphologies are stable, it disguises some of the underlying physics of self-assembly and relieving packing frustration. Systems are able to minimize their free energy by increasing their entropy and in self-assembly this is counterbalanced by the enthalpy of interacting macromolecular chains. Chain elongation is not entropically favored in block copolymer self-assembly because it limits the number of conformations that the block copolymer can assume and reduces the Figure 5: Homopolymer Redistribution Homopolymers migrate to areas of the domain where they are able to relieve packing frustration. In this diagram, line arrays meet at 90 junctions and create corners where the patterned periodicity is increased compared to the line array. At these points the homopolymer concentration is increased to prevent elongation of the lamellar chains and relieve packing frustration. 34 entropy of the system. For ideal diblock copolymer systems, the volume fraction of each block corresponds to the degree of polymerization and is directly proportional to the entropic contribution. For real systems, however, chain stiffness plays a role in the 12

23 χn entropic contribution of each domain to the system free energy and can be accounted for by the statistical segment length of each block. 35 When two symmetric blocks have different statistical segment lengths, the block with the shorter statistical segment length will contribute a greater proportion of the entropic energy and is favored on the outside of the interfacial curvature, whereas the block with the longer statistical segment length is favored on the inside of the interfacial curvature where packing frustration is most likely to occur. This leads to a shift in the phase diagram, as shown in Figure 6. In Figure 6, the longer statistical segment length of the A-block requires greater volume fractions of the A-block before order-order transitions (OOT) occur, because the block is relatively more stable on the inside of the curved interface. Going back to the spring example temporarily, if a block has a comparatively greater statistical segment length, it has a smaller spring constant and is easy to stretch, preferentially placing it on the inside of a curved interface. The center of the lamellar phase is known as the point of zero spontaneous curvature, where the blocks contribute equally to the entropic free energy and the system has no drive for curved interfaces. For an ideal system, this occurs at equal volume Figure 6: Asymmetric Phase Diagram The statistical segment length of each block affects its contribution to the system free energy. The block with the relatively shorter statistical segment length contributes additional entropic energy and will preferentially form on the outside of interfaces, even at equal volume fractions. 35 fractions (fa = fb = 0.50), but for block copolymers with imbalanced statistical segment lengths, Matsen derived the expression for zero spontaneous curvature shown in equation 2 35 : 13

24 f ZSC f A f A f B In Figure 6, with a ratio of fa/fb = 1.5, the point of zero spontaneous curvature is calculated to occur at fa = 0.60 and is indicated with an arrow at the top of the phase diagram. This calculation assumed that the block copolymers were in the strong segregation limit and systems with intermediate values of χn may shift the point of zero spontaneous curvature towards f = It is clear from the asymmetric phase diagram in Figure 6 that the morphological phase transitions have shifted to higher volume fractions of the A-block, thus requiring additional entropic contributions from the A-block to shift the structure to the outside of the interfacial curvature. As previously mentioned, homopolymer blending is an effective route for relieving packing frustration, but blending homopolymers significantly complicates the phase diagram. Homopolymers tend to migrate to the center of block copolymer domains in order to minimize their interaction with the other block, and because of increased interaction energy associated with larger N, longer chain homopolymers have a greater tendency to completely segregate to the center of a domain. 32 Homopolymer distribution within a domain resembles a Gaussian, with larger molecular weight homopolymers relative to the block copolymer molecular weight possessing a smaller standard deviation and tighter distribution at the center of a domain. By completely segregating, larger homopolymers exclude the block copolymer from the domain center and increase the domain width. This was demonstrated by Liu et al. for lamellar-forming block 36, 37 copolymers and has been repeatedly demonstrated for cylinder-forming block copolymers. Entropically, the molecular weight dependence of domain expansion reduces the utility of volume fraction for determining the resulting morphology. The entropy expression when homopolymers are introduced must take into account the domain expansion caused by the homopolymers, the number of homopolymer chains, the number of new conformations that the block copolymer can 14

25 assume, and any asymmetries in the volume of homopolymer added to each domain. These considerations have limited the creation of phase diagrams for ternary block copolymer/homopolymer blends. 38, 39 Practically, the volume fraction is still a useful measure of which morphology to expect when blending homopolymers with block copolymers, but it is imperative to note that different molecular weight homopolymers and blending asymmetries will affect the final morphology. The confined nature of block copolymer assembly in thin films requires very specific conditions to produce the complex phases because of strain induced in the blocks by a planar free surface. However, forming ordered two-dimensional assemblies of cylinders and lamellae has proven to be relatively straightforward. Thin film self-assembly is most useful when there is contrast between the domains when viewed top-down because the heterogeneity between blocks can be used for patterning the underlying substrate if the block copolymer domains are functionalized for selective removal. Angled orientation of the domains to the substrate is not favored because of the required domain expansion at the top and bottom surfaces of the film, leading to realignment of domains perpendicular or parallel to the substrate. Cylinder-forming block copolymers have domain contrast when oriented perpendicular, or in a single layer parallel, to the direction of the substrate. When aligned parallel to the substrate, the cylinders form long, alternating lines of each block (Figure 7a), but the matrix block separates the cylindrical block from the underlying substrate and reduces the contrast between each line. 40 Perpendicular cylinder orientation creates high-contrast domains by arranging a hexagonally close-packed lattice of the minority domain with continuous paths from the free surface to the substrate (Figure 7b). 41 Lamellar-forming block copolymers have a morphological resemblance to the parallel-aligned cylinders, forming an assembly of alternating blocks colloquially known as the fingerprint 15

26 Figure 7: Cylindrical and Lamellar Orientations for Nanolithography a) Parallel oriented cylinders. While the top-down image appears to be lithographically useful, the matrix block forms a surface layer and limits contrast. b) Perpendicular oriented cylinders with a continuous domains propagating from the free surface to the substrate. c) Perpendicular oriented lamellar block copolymer. These structures have greatly enhanced contrast compared to the parallel oriented cylinders. 36,37 morphology due to the loops, whorls, and curved interfaces of the structure (Figure 7c). 40 Lamellar-forming block copolymers have greater domain contrast in thin films than parallel aligned cylinders because the composition of the domains does not vary in the direction perpendicular to the substrate. The high contrast morphologies (perpendicular lamellae and cylinders) have been used as templates for plasmonic nanoparticles 42, high-density magnetic memory 43, nanowire arrays 44, nanoposts 45-48, optical filters 49, and are a material of intense interest for next-generation semiconductor lithography 3, 7, 12, The orientation of thin film block copolymers is determined by the wetting behavior of each block. 53 Preferential wetting of either block to the substrate results in structures that are aligned parallel to the underlying surface and limits the contrast between blocks. Perpendicular orientation of the block copolymer domains can be achieved through the use of directional fields, such a magnetic 54, electric 55-57, and shear 49, 58-61, or the use of surface neutralization techniques that provide equal wetting energy for each block Neutral surfaces can be created from random copolymers composed of monomers of each block and a crosslinking agent These surfaces 16

27 can either be crosslinked to each other in a dense mat or crosslinked to the surface as a brush to provide a neutral wetting surface. The wetting behavior of the substrate can also be modified using materials such as spin-on-glass, self-assembled monolayers 71, or relatively sparse chemical modification of the substrate 72. The free surface of the block copolymer (usually air) must also provide a neutral surface and although this is usually not a major concern, it can be problematic for systems with greater dissimilarity, such as high χ block copolymers. 73 The free surface in these systems can be neutralized through multilayer approaches or confinement of blocks between two substrates One of the key advantages of block copolymers for patterning below the diffraction limit is the tunability of their size between 5 and 50 nm. As mentioned previously, the morphology of the block copolymer assembly is dictated by the volume fraction of each block, but the periodicity (Lo) of the structure is dependent upon the degree of polymerization of the block copolymer. The size scaling of block copolymer domains depends on the orientation of the blocks extending away from the interface, which is determined by χn. High values of χn (>60) result in block copolymer chains that elongate away from the interface to minimize the number of interactions with the other block and the size scales linearly with increasing degree of polymerization. 18 Low or intermediate values of χn reduce the penalty for interaction and the domain size instead scales with the radius of gyration of the polymer, which scales with the square root of the degree of polymerization (N 1/2 ). 23 Dictating the size of the domains requires tuning the degree of polymerization, which is intrinsically linked to the phase separation of the blocks, and may change the size scaling regime of self-assembly. For many block copolymer systems, χn reaches the order-disorder transition well before the polymer domains reach sizes below 10 nm and specialty polymer systems with high values of χ are required for patterning at extremely small length scales. 17

28 The block copolymer domain size also changes as homopolymers are blended into each block. 32, 38 The domain size of blended structures not only depends on the weight fraction of homopolymer, but also depends on the molecular weight of the homopolymer. As mentioned previously, homopolymers with comparable molecular weights to the block copolymer tend to segregate themselves to the center of a block copolymer domain and increase the periodicity of the structure to a greater extent than low molecular weight homopolymers, which evenly disperse throughout a domain. 32 Experiments by Liu et al. formulated an empirical relation for the increase in lamellar periodicity for symmetric homopolymer blends based on the ratio of the molecular weight of the homopolymer to the block copolymer (α) and the volume fraction of added homopolymer (ΦH). 36 For targeted applications that require homopolymer blending to relieve packing frustration, the resulting size of the block copolymer domains must take into account the expansion caused by the homopolymer volume fraction and the homopolymer molecular weight. Block copolymer self-assembly occurs in parallel over arbitrarily large areas and is highly compatible with industrial processes such as continuous roll-to-roll processing or batch wafer fabrication, allowing the rapid fabrication of nanostructured materials over incredibly large areas Self-assembly is achieved when the polymer chains are given enough mobility to phaseseparate based on chemical composition. Typically, this is achieved by raising the temperature of the film above the glass transition temperature (Tg) of the constituent polymers, forming a rubbery melt wherein the polymer chains have the freedom to diffuse and form interfaces between the blocks. 82 Although there is some evidence that Tg for nanoscale films is depressed compared to the bulk, rapid self-assembly relies on imparting thermal energy well beyond Tg to speed up the diffusion of polymer chains and many times self-assembly occurs at temperatures more than 100 C above Tg. 83 This process is referred to as thermal annealing and is a widespread assembly 18

29 technique for both thin films and bulk block copolymers because of its simplicity and the thermodynamic stability of the resulting structures. While spheres and the complex morphologies are largely ignored in thin films because of the strains associated with assembling a three dimensional structure in confined space, cylinders and lamellae have attracted attention due to their two-dimensional ordering. In thin films, the structure of cylinders (perpendicular and parallel to the substrate) assembled by thermal annealing is established quickly and after the initial stage of phase-separation, undergoes grain coarsening processes to produce morphologies with long range order. 40, 84 This translates into increasingly ordered structures with increased annealing time, although the exponential decay of defect annihilation processes sets a kinetic limit on the morphologies that can be expected. Thin film lamellar systems also phase separate relatively 40, 85, quickly, but do not typically undergo grain coarsening processes to produce long range order. 86 The high densities of defects, such as dislocations or disclinations, formed during the initial stages of self-assembly limit the bulk mobility of defect structures through the film. Many lamellar defect annihilation processes rely on climb and glide motion of multiple dislocations 84, but packing the specific defects into a set area limits the total number of conformations and requires random coherent action to migrate defects together for direct interaction. Other defect annihilation processes can occur through melt mechanisms, where individual polymer chains cross the domain and result in isolated defect annihilation, but the lamellar systems explored for grain coarsening have had values of χn so great that this mechanism was kinetically prohibited. 87 In systems where the melt mechanism is permitted, defects annihilate so rapidly that the remaining defects can be attributed to a Boltzmann distribution based on thermal energy. Permeation of solvent vapor into glassy polymers has been known to decrease Tg for many years. 88, 89 Recent work has sought to control the morphology and ordering of block copolymer 19

30 thin films by taking advantage of the decreased Tg to produce ordered block copolymer arrays at greatly decreased temperature compared to thermal annealing, in a process now known as solvent annealing After sufficient swelling of the polymer matrix with solvent vapor to lower Tg below ambient, the polymer chains behave similarly to chains in a thermal melt, although the environment created by the solvent molecules may change the behavior. Compared with thermal annealing, solvent annealing offers much more control over the resulting morphology due to the greatly increased number of variables, although these variables also complicate self-assembly. The degree of swelling, solvent partitioning, and polymer-solvent interaction are the major factors in the assembly of block copolymers during solvent annealing and can be varied to engineer the resulting morphology. 14, 97, 103 Dilution of the polymer chains by solvent results in a direct decrease in χ through fewer polymer-polymer interactions, allowing the block copolymer to assemble at much lower χ than in a thermal melt. 21 Single solvents or solvent blends may be used, and in either case, may result in asymmetric swelling of the polymer domains during self-assembly and periodicities that differ from the thermal melt state. The extension of chains away from the interface will change based on the enthalpic interaction between solvent molecules and polymer chains, with better solvents favoring greater interaction with the polymer chains compared to a polymer melt, leading to increased chain extension away from the interface. The solvent removal rate after self-assembly also plays a role in the final structure and is capable of trapping morphologies that may not be thermodynamically stable or dictating the final orientation of the polymer chains. 100 Control over the final morphology has been demonstrated extensively in the literature, producing variable structures from a single block copolymer with domain sizes varying by a factor of three

31 Block copolymers are appropriate templates for fabricating a wide array of nanostructured materials. In particular, thin film block copolymer lithography is a promising avenue for patterning integrated circuit features at sizes well below 10 nm. State of the art lithography relies on 193 nm wavelength light to pattern devices, and while current feature sizes have reached 22 nm half-pitch using advanced immersion lithography, Moore s law requires the continuing development of features at smaller sizes. As the feature size decreases, the diffraction limitations of light as a lithographic exposure tool become more pronounced and there is no well-developed method for patterning at the 10 nm scale. Although there are many competing technologies, block copolymer self-assembly is attractive as a new patterning material because the feature size is easily tuned below 10 nm with the appropriate choice of polymer chemistry and molecular weight. 73 The rigid requirements of the semiconductor industry, however, require ordered arrays with controlled spacing, defined orientation, and defect-free assembly. Additionally these structures, while periodic, contain many features that are not commonly observed in self-assembled structures, such as t-junctions, jogs, and 90 degree bends. In order to facilitate block copolymer use in next generation lithography, much research has been devoted to the directed self-assembly of block copolymers into device-oriented structures with defect densities lower than 1/m 2. Three main approaches exist for patterning regular features: chemoepitaxially driven self-assembly, graphoepitaxy, and sparse chemical directors. Each method has advantages and limitations, and a combination of all three may be useful for integrated circuit fabrication. Lithographic patterning at sub-10 nm scales requires block copolymers with high χ values between blocks due to the low N at these scales. Insufficiently large χ results in block copolymer melts that remain in a disordered state due to the decreased enthalpic penalty for mixing, which is entropically favored. Many systems with high χ, such as polystyrene-block-polydimethylsiloxane 21

32 (PS-b-PDMS) 105, polystyrene-block-poly(2-vinyl pyridine) (PS-b-P2VP) 106, 107, and polydimethylsiloxane-block-poly(2-vinyl pyridine) (PDMS-b-P2VP) 14 have been explored as potential materials for reliable sub-10 nm patterning. High χ copolymers may require surface neutralization at the free surface because of large differences in the surface energy of the two components, leading to preferential wetting of a single component at the air-polymer interface. None of these systems inherently contain photoactive elements, such as PMMA, which is known to break down in 254 nm light. Random integration of photoactive monomers into the desired block, however, imparts photoactive character to the block copolymer and enables the selective removal of a single block to form templates for patterning the underlying substrate at the same size as the block copolymer domains. Chemoepitaxial self-assembly is a well-developed process for patterning device-oriented features using block copolymers. Instead of creating a neutral wetting surface with homogenous composition, this method relies on patterning a surface with patterns that have chemical preference for one of the blocks and allowing the block copolymer to self-assemble on this pattern. There is an incredibly large enthalpic energy penalty associated with assembly of the undesired block on the preferential surface and defect-free pattern generation is possible over areas greater than 1 m The utility of this technique was first demonstrated using alternating parallel lines of surfaces preferential for polystyrene (PS) and poly(methyl methacrylate) (PMMA) patterned by extreme ultraviolet (EUV) light passing through adjacent gratings. Subsequent self-assembly of polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) on the patterned surface resulted in alternating lines of PS and PMMA with zero defects. 71 The enthalpic driving force for preferential self-assembly was large enough to direct assembly on structures with periodicities over 10% greater than that of the bulk block copolymer, where chain elongation results in large entropic 22

33 penalties balanced by the enthalpic driving force. This was then extended to device features such as jogs, t-junctions, and 90 bends (Figure 8 a-e) by patterning preferential surfaces using electron beam lithography. 34, 51 Defect-free assembly of device structures with right angles, however, required homopolymer blending to relieve packing frustration near the sharp corners of features 34, 109 where the corner domain width was greater than the patterned line width. Surface features are also capable of directing block copolymer assembly in a process known as graphoepitaxy. 110 Graphoepitaxy specializes in producing well-ordered, parallel line arrays using optical lithography to pattern sub-micron features. In graphoepitaxy, block copolymers are assembled while confined by tall surface features to introduce compressive strain Figure 8: Directed Self-Assembly of Lamellar Block Copolymers into Device Features Electron-beam patterns were used to direct the assembly of lamellar block copolymers into a) nested jogs, b) isolated PMMA jogs, c) isolated PS jogs, d) t-junction arrays, and e) bends. 34,51 23

34 for domains that deviate from a single crystal state. For perpendicularly aligned cylindrical structures on a neutral substrate, this creates a perfect hexagonal lattice between the topographic features and line arrays for parallel aligned cylinders Lamellar self-assembly between surface features is more dependent on the wetting behavior of the block copolymer for the surface and substrate. On a neutral substrate, if a single block preferentially wets a surface feature the block copolymer domains will align parallel with the direction of the surface feature. 114 If instead the blocks equally wet the feature, the domains will align perpendicular to the topographic structure. 44 Graphoepitaxy is not limited to assembly in long trenches and circular structures can also be self-assembled, however, graphoepitaxy has not yet demonstrated the control to pattern essential integrated circuit features The advantage of graphoepitaxy lies in the ease of fabrication for the topographic features because of their size compatibility with standard photolithography. Both graphoepitaxy and chemically patterned surfaces can be used as sparse templates for directed self-assembly. This was first demonstrated for graphoepitaxy by Kim and coworkers, who used a disposable photoresist and PS-b-PMMA block copolymers to create line arrays of arbitrary size as shown in Figure 9a. 52 After graphoepitxial self-assembly, the PMMA domains were removed and the substrate was exposed to oxygen plasma to create line arrays of PMMApreferential surfaces in a similar fashion to electron beam fabrication of a chemically patterned 24

35 Figure 9: Graphoepitaxy and Density Multiplication a) General method for utilizing disposable photoresist patterns to create surface topography to direct block copolymer self-assembly. 52 b) Block copolymer self-assembly is capable of interpolating electron beam patterns at half the intended feature density in a process known as density multiplication. 118 surface. Removing the photoresist and PS exposed the underlying neutral surface and subsequent self-assembly of PS-b-PMMA followed the guiding features of the chemically patterned surface. 25

36 Self-assembled block copolymer pinned by the chemical patterns acted as a guiding template for graphoepitaxy of the block copolymer on a neutral surface. Using this technique allowed Kim and coworkers to assemble arbitrarily large line arrays without the use of advanced lithographic techniques such as electron beam or EUV, thus preserving many cost advantages associated with self-assembly. Patterning integrated circuit features, however, still requires electron beam lithography. The cost of electron beam lithography can be reduced through reductions in the electron dose delivered to the sample. By patterning the guiding features at reduced density (1/3 to 1/4) on a neutral surface, the block copolymers directly overlapping the chemical pattern will follow the guiding template and direct surrounding block copolymers to adopt similar morphologies (Figure 9b). 118 This method is known as density multiplication and highlights the ability of block copolymers to interpolate structure based on sparse features. Beyond parallel line arrays, this method has been extended to integrated circuit geometries and enables the patterning of integrated circuit features with lower total electron beam dose. 119 Block copolymer interpolation of isolated features can be leveraged to deliver incredibly low electron beam dose while assembling complex integrated circuit features. Patterning isolated posts preferential for a single block copolymer using electron beam lithography creates a template for self-assembly while lowering the total electron beam dose by an order of magnitude. This was first demonstrated by Ross and coworkers to pattern the complex features shown in Figure While many of the preferential post locations are intuitive, such as lines of posts to create straight block copolymer features, forming 90 degree bends in close proximity required trial and error experiments to the desired morphologies. Many of the 90 degree features create unequal domain widths compared to the bulk and benefit from both surface features and homopolymer blends to 26

37 Figure 10: Directed Self-Assembly by Sparse Chemical Patterns Electron beam patterning of preferential nanoposts to direct block copolymer selfassembly into a) nested bends, b) wavy lines, c) cross-joint structure, and d) meander bends. 72 produce defect-free structures, introducing a number of complexities and unintuitive behavior. As an example of counter-intuitive behavior, forming t-junctions does not require a post at the intersection, but requires three posts framing the intersection and allowing the block copolymer to assemble a junction. To address the tedium of trial and error experimentation, which reduces the 27

38 efficiency of the method for rapidly producing new layouts, a Monte Carlo method was developed to determine the optimal design of the isolated post features. Experimental confirmation of the Monte Carlo simulations suggests that the predictive nature of the calculations will lead to the rapid design of complex self-assembly layouts with extremely low electron beam doses. 120 The use of advanced lithography techniques, such as electron beam lithography, to pattern block copolymers into integrated circuit layouts begs the question: why not use advanced lithography to directly pattern features? While electron beam patterning has excellent spatial control, slight variations in dose, substrate temperature, and partially exposed regions create roughness in the features after development. The ITRS has not yet identified a viable lithographic method to pattern next generation integrated circuit features within the tolerances set by line edge roughness. 1 Both short range (high frequency) and long range (low frequency) roughness are of concern for integrated circuit manufacture. For example, the leakage current across a transistor has an exponential dependence on the spacing between the source and drain of the transistor; high frequency roughness can create variable source to drain distances and lead to large discrepancies in the leakage current for a given gate. The same effect can be achieved through out of phase low frequency roughness, which can slowly move the source and drain interfaces together or away from each other. These discrepancies limit the performance of the circuit and can be a common source of failure modes. Block copolymer remediation of chemically patterned line edge roughness was first studied by Nealey and coworkers using electron beam lithography to directly pattern low and high frequency roughness and self-consistent field theory calculations to hypothesize the interfacial profile between the free surface and the substrate. 121 They found that while the enthalpic penalty for deviating from a chemically patterned template encouraged block copolymers to perfectly replicate the guiding pattern, even if it had high frequency roughness (roughness over 28

39 distances < 2 Lo), the entropic driving force for returning to the bulk domain width encouraged the system to form smooth interfaces at the free surface. This restored the normal periodicity of the nanostructure and led to an overall reduction in the high frequency roughness of the template. Low frequency roughness (roughness over distances > 2 Lo) was also smoothed using block copolymer self-assembly; however, in-phase low frequency roughness does not cause an appreciable strain on the block copolymer domains and was not remediated using block copolymer self-assembly. Patterned magnetic media share many design characteristics with integrated circuits but have much greater defect tolerance and have traditionally relied on random patterning of magnetic domains. Improvements to the storage capacity of hard disks can be achieved through the patterning of smaller magnetic domains, thus increasing the bit density and the total amount of information that can be stored in a given area. Cylinder-forming block copolymers have been explored as a potential patterning tool for manufacturing discrete magnetic domains with sizes close to 10 nm, which would represent a two- to four-fold density improvement over current technologies. 7, 10, 43, 122 Cheng and coworkers were the first to pattern magnetic media using block copolymer templates and their approach generated cobalt dot arrays with 20 nm nanodot size. 123 Their approach involved a multilayer etching process and subsequent efforts have moved towards traditional top-down deposition techniques for patterning magnetic dot arrays. While the hexagonally close-packed arrangement represents the highest density of functional materials, it also complicates the read-write operations of a traditional hard disk based on a rectilinear coordinate system. This has encouraged research into methods for patterning block copolymers with a square lattice, which was first achieved using a blend of two diblock copolymers by Hawker and coworkers. 124 Combinatorial approaches using graphoepitaxy and electron beam lithography have also proven successful for fabricating square lattice magnetic materials using block 29

40 copolymer lithography. 76 The success of block copolymers for patterning magnetic media in academia has driven commercial implementation of this technology. Recent industrial seminars indicating that devices utilizing block copolymer directed self-assembly for patterning magnetic media will come to market by 2015 have provided encouragement that block copolymer lithography may be commercially viable and while it remains to be seen whether the success in the magnetic media industry will translate to success in the semiconductor industry, continued development for improved density and reduced defect rates will provide a great deal of guidance for successful integration into integrated circuit fabrication. As a whole, these findings have encouraged the further development of block copolymer lithography as a potential candidate for patterning at scales smaller than 10 nm. It is likely that a combination of graphoepitaxy and direct chemical patterning will be employed to fabricate integrated circuit features because of their respective advantages at patterning various features. Graphoepitaxy is able to pattern large areas using well-developed optical lithography methods, although the geometries that can be achieved are limited. More complex features may be possible using more exotic topographic features. While expensive, direct chemical patterning and subsequent block copolymer assembly has already demonstrated defect-free device features over small areas, but patterning over wafer-scale sizes in industrially relevant timeframes is still an active area of research. Remediation of line edge roughness by block copolymers may enable the further use of current lithography methods while relying on block copolymers to interpolate and smooth the lithographic patterns. Furthermore, the successful implementation of block copolymer lithography as a tool for patterning high-density magnetic media has increased the incentive for research into defect reduction and other topics which will directly impact integration into semiconductor industry. 108, While further work is needed to meet the thresholds set forth by 30

41 the semiconductor industry, the research thus far indicates that block copolymers are a promising nanolithographic material that may be capable of patterning integrated circuits below the 10 nm limit. Many applications and materials that may derive benefits from nanostructuring do not require the perfect directional orientation or layout of the semiconductor industry, allowing functional devices or materials to be fabricated by block copolymer lithography without the use of guiding templates. For example, many optical characterization techniques rely on ensemble measurements to sense changes in the local environment and can be used to detect a wide variety of events. For example, the localized surface plasmon resonance (LSPR) is a well-known phenomenon in noble metals such as copper, silver, and gold which occurs when the electrons in the conducting band of a material oscillate in resonance with a specific frequency of incoming light. 128 While originally observed in the bulk, the LSPR has received renewed interest since its appearance in gold nanocrystals. 129 The characteristic wavelength of the LSPR in nanomaterials has been shown to depend on the size, shape, and local environment of the nanostructure, allowing the wavelength to be engineered across the visible and infrared spectrums for specific applications The oscillation of electrons due to the LSPR increases photon absorbance at that wavelength, heats the area, and can generate dipoles in nearby materials. The LSPR has been studied for applications such as sensing 128,131,134,135, targeted cell therapy using local hyperthermia , and plasmon enhanced solar cells Sensors taking advantage of the LSPR monitor the peak absorbance wavelength for changes which can correlate with desired events. Kim and coworkers were the first to create a biological sensor from block copolymers using plasmonic metallic nanodots templated by cylinder-forming PS-b-PMMA. 42 Nanodots of copper, silver, and gold at various thicknesses were fabricated to first demonstrate that the LSPR could be tuned 31

42 across the visible spectrum utilizing block copolymer lithography. They were then able to demonstrate a shift in the peak LSPR wavelength upon a change in the local environment of the nanodot ensemble, specifically, a protein binding event occurring on the functionalized surface of a nanodot. Their work was unique from many perspectives; first, nanomaterials patterned using top-down techniques are typically polycrystalline when compared with many plasmonic nanocrystals and are not sought out for optical applications because of the limited number of methods for top-down patterning of nanomaterials. Secondly, the shift in LSPR peak with increasing nanodot thickness followed an opposite trend compared to past studies on LSPR shift with increasing nanocrystal size. Although the authors made no comment, this may be attributed to the increasingly high aspect ratio of the structures with increasing thickness; high aspect ratio structures are well-known to possess a longitudinal and radial LSPR with aspect ratio dependent peak shifts regardless of size. Merging bottom-up and top-down processes allows precise tuning of nanomaterial composition without much concern for chemistry or thermodynamics. Multilayer nanodots are possible by simply depositing one layer on top of the other, and as long as the layers have sufficient adhesion to survive liftoff of the block copolymer template, may create novel material interactions. Similar lithographic processes can also be used to pattern nanowire arrays using lamellarforming block copolymers; however, most work has also incorporated directed self-assembly to form arrays of metal nanowires that may be useful in integrated circuits. 44, 113, 114 The first advance towards device integration using randomly oriented block copolymers was made by Kim and coworkers, who used chemically modified graphene (CMG) as a neutral surface to self-assemble lamellar block copolymer domains. 150 They then performed lithographic processing to transfer the pattern to gold nanowires and performed a second cycle of self-assembly using CMG and 32

43 subsequent deposition to pattern a second gold nanowire layer, creating a continuous gold network. While their use of a multilayer process was ingenious, it limits the flexibility of block copolymer domains for directly patterning bilayer structures for functional devices and requires multiple patterning steps for creation of a continuous film. Work by other groups has formed randomly oriented lamellar nanowire arrays using platinum- or palladium-containing block copolymers and etch processing, but these arrays did not form continuous nanowire networks. 151, 152 With the growth of block copolymer lithography for patterning integrated circuit features, it has been surprising that randomly oriented domains have received such little attention. One of the key challenges for lamellar-forming block copolymers has been controlling the continuity of the domains and transferring that continuity into nanomaterials. Without this advance, block copolymer lithography will not have any advantages over other techniques for patterning continuous nanostructures, such as spray deposition of long nanorods. The properties of materials based on random networks are determined by the continuity and connectivity of the network. In general, having a continuous network only implies that a pathway for transport exists and stating that a network spans the substrate only signifies that there is a pathway between all substrate borders. The connectivity of a network is correlated to the number of transport pathways and a well-connected network will have a greater number of transport pathways than a network with very low connectivity. 153 Increased connectivity allows for parallel transport through the network and provides redundancy in the event that a pathway becomes unavailable. This has been exploited in many bulk materials that rely on percolating transport, such as organic solar cells 147, , ion transport membranes , and polymer/carbon nanotubes heterocomposites where increased connectivity improves mass or energy flux through the material. Transport occurs through the carbon nanotubes in a polymer/carbon nanotube 33

44 heterocomposite device and relies on contact between carbon nanotubes to generate transport pathways. With increasingly long carbon nanotubes the volume fraction required to form a continuous network drops off dramatically because the nanotubes have a greater statistical likelihood of overlapping one another, however, even though continuous pathways are formed at a much lower threshold the total flux is dramatically reduced compared to high nanotube loading because there are very few possible pathways for transport. 165 The loading of carbon nanotubes into the polymer is easily controlled and can be varied to engineer the transport properties for specific applications. Devices utilizing bulk block copolymer assembly also rely on percolating transport for mass or charge flux, but coupling the domain interfaces and fixing the morphology based on enthalpic and entropic energies changes the statistics of continuity. Whereas in a heterocomposite material the carbon nanotubes can be assumed to orient randomly and evenly dispersed, block copolymer domains will orient based on the interface. Close examination of the block copolymer phase diagram reveals that only the complex phases (gyroid, perforated lamella, and doublediamond) spontaneously form bicontinuous domains. 26, 29, 167 For sphere- and cylinder-forming block copolymers, the majority block forms a matrix domain that continuously spans the volume but the minority block s continuity is limited to one dimension at most. It is a reasonable expectation that the lamellar phase also forms bicontinuous domains based on the structure of the complex phases and their compositional framing of the symmetric lamellar phase, however, the parallel planes of each domain in the lamellar phase do not normally form continuous pathways perpendicular to the block interfaces. In real systems, the lamellar domains are not perfectly flat planes and defects formed during self-assembly may allow the lamellar domains to form bicontinuous structures. Common defects in bulk block copolymer assembly include dislocations, 34

45 disclinations, and various types of twist boundaries. 85, These defects connect adjacent polymer domains and form continuous pathways for transport throughout the volume. These defects can contain cores of either block and the domains can entwine in three-dimensions, creating a bicontinuous structure. While there may preferential directions for transport on local scales in bulk lamellar block copolymers, the large number of randomly oriented lamellar grains eliminates preferential transport directions over large volumes. The continuity and connectivity of block copolymers in thin films shares many characteristics with bulk transport but confinement introduces additional complexities. Thin film block copolymer structures aligned perpendicular to the surface are essentially two-dimensional because the structure does not vary between the surface and the substrate. In other words, the structure at the surface is identical to the structure next to the substrate. Bicontinuous structures cannot exist in two dimensions and a continuous structure that spans a given area will always subdivide the opposite domain. The complex block copolymer phases do not readily form in thin films and the cylindrical phase is limited to isolated zero-dimensional domains, leaving the lamellar phase as the only viable option for patterning continuous block copolymer structures. The topology of the two-dimensional lamellar networks is likewise dictated by defects, but the defect types are limited to dislocations and disclinations because the twist defects are inherently threedimensional. Each defect connects adjacent domains of a single block and subdivides the other domain into smaller segments, thus changing the local network continuity. A continuous block copolymer domain will, over a given area, branch more often than the other domain and subdivide that domain into isolated networks. While it may be possible to form large networks of each block near the symmetry point, sufficient asymmetry will drive the statistics of self-assembly to form a substrate-spanning network in one block. The dependence of continuity and connectivity on 35

46 defects suggests that control over thin film block copolymer networks can be achieved through defect engineering to tune the relative defect populations that favor continuity in each domain. Defect engineering may be possible through kinetic or thermodynamic control, although thermodynamic control is preferred because the structures formed are inherently stable. Kinetic control may be achieved through trapping of the structure in an intermediate state and thermodynamic control may be achieved through changes in the driving force or activation energy for defect annihilation. Scope With the theoretical background established and knowledge of the shortcomings in block copolymer patterning approaches, we will now detail our contributions to the fields of block copolymer physics and lithography. In short, we have pioneered approaches for tuning the continuity and connectivity of block copolymer domains through defect engineering and utilized these approaches to pattern the first two-dimensional devices based on randomly oriented block copolymers. These lamellar networks have shown promise as a new material for the further miniaturization of stretchable and flexible electronic devices, allowing greater feature density and increasing device functionality similar to the improvements shown by the semiconductor industry. Furthermore, the fundamental understanding of block copolymer defects has been advanced by our studies on randomly oriented block copolymers and may enable further reductions in defect density for applications requiring ordered assemblies, such as integrated circuits or magnetic media. As previously mentioned, one of the primary challenges to patterning block copolymer devices has been controlling the continuity of the self-assembled two-dimensional structures. Without continuity, devices based on block copolymer assembly require additional patterning 36

47 steps to allow for interaction between device components and reduce the utility of block copolymers for creating nanostructured materials. The second chapter of this thesis details methods for controlling the continuity of two-dimensional lamellar block copolymer structures. The network topology of the lamellar nanostructures was shown to depend solely on block copolymer composition, thus allowing straightforward control over the continuity and connectivity of the system. Compositional changes directly relate to the entropic contribution of each block and determine whether it will preferentially assemble on the inside or outside of curved interfaces. The spontaneous assembly of a block on the inside or outside of an interface enabled the engineering of defect populations to favor continuity and connectivity in either majority block. The work detailed in Chapter 2 created the foundation for patterning devices based on randomly oriented block copolymers is the one of the primary contributions to knowledge in this dissertation. The continuity and connectivity of lamellar block copolymer systems is driven by defect populations during self-assembly. Our original work, while able to tune the continuity and connectivity through compositional control, never established protocols for independently tuning the connectivity and continuity. Chapter 3 details the thermodynamic control methods we established for tuning the network connectivity of continuous block copolymer domains. The primary control method discovered was thickness variation which increased the driving force for defect annihilation and permitted additional defect-annihilation pathways, leading to reductions in the activation energy. The density of each type of block copolymer defect was equally reduced, leading to changes in the connectivity, whereas the relative defect populations maintained continuity in the majority domain. We also studied the kinetics of defect annihilation as a possible control method for tuning the topology of the network but found that these methods did not have the desired level of control. Block copolymer patterning of integrated circuit components requires 37

48 defect-free lithography of nanoscale features over macroscale lengths and understanding the kinetics and thermodynamics of defects that occur during self-assembly is critically important for the reducing defect densities below the thresholds set forth by the ITRS. Therefore this work not only provided a framework for engineering block copolymer networks, but also established processing approaches for reducing defect densities during directed self-assembly. Solvent annealing has gained favor as a method to rapidly produce order in thin film block copolymers and methods have been demonstrated to exert control over the resulting size and morphology of the self-assembled copolymers. An important consideration for photolithographic patterning is the aspect ratio of the templates after removal of the soluble component, and this is also important for block copolymer lithography. Although our thickness control method for tuning the topology of the lamellar domains was effective, the variable aspect ratio of the resulting templates was problematic for transferring the structures into functional devices. Chapter 5 details our use of a theta solvent blend to rapidly form thermodynamically stable network structures and our validation that the method produces similarly continuous structures as thermal annealing, while working with a constant film thickness capable of generating constant aspect ratio templates. The solvent annealing method was shown to be effective for reducing defect density by enabling defect annihilation through a melt-pathway. The incredibly low activation barrier for defect annihilation provided by the melt pathway created a Boltzmann distribution of defect states, implying that the structures formed had the lowest possible defect density for a randomly oriented block copolymer structure. Overall, this method allowed the creation of block copolymer templates with similar aspect ratios but dramatically different connectivities and provided a possible framework for further reductions in defect density for ordered assemblies. 38

49 Advances in the control methods for tuning block copolymer network templates were developed in parallel to processes for transferring these templates into functional materials. The processes involved in block copolymer lithography using PS-b-PMMA are well-known, but had not been utilized for patterning a continuous nanostructures based on the lamellar networks. Chapter 5 details our optimization of block copolymer lithography utilizing lamellar-forming PSb-PMMA and the translation of lamellar network structures into continuous gold nanomeshes. This work represented the first fabrication of continuous structures based on randomly oriented lamellar domains and provided a robust methodology for combining block copolymer self-assembly and top-down microfabrication. We found that engineering additional network redundancy was necessary for patterning continuous structures because of inevitable errors in pattern translation during processing. The defect rate introduced during processing was estimated utilizing a percolating transport model and we found that while our defect rate was relatively low, it was sufficient to produce large changes in the transport properties. The continuous gold networks possessed high transmittance and a LSPR, suggesting that they may be useful as sensing materials or for integration as electrodes for plasmon enhanced solar cells. While the individual processing steps are relatively straightforward, our optimization of the process and understanding of the network structures paves the way for continuous two-dimensional nanostructures of nearly arbitrary composition. The structure of the lamellar domains is analogous to serpentine interconnects found in many stretchable and flexible electronic devices. In these stretchable devices, the pathlength between components is much greater than the physical separation, allowing interconnects to elongate and straighten during tensile strain while maintaining continuity. Upon relaxation, the interconnects return to their original shape and the device performance is unaltered. Stretchable 39

50 and flexible electronics have benefited from many advances in the semiconductor industry and typically harness photolithography to pattern extremely thin silicon on insulator (SOI) wafers. The fracture strain of silicon is roughly 1% and the thin films allow strain accommodation without exceeding this material limit during deformation. Stretchable device component sizes are on the order of 100 microns with thicknesses of about a single micron, but the drive towards increasing functionality has incentivized decreases in component size to increase the device density. Chapter 6 details the mechanical properties of the fabricated gold nanowires and shows that they behave similarly to the serpentine interconnects, albeit on a scale at least two orders of magnitude smaller. The branches in the gold network were sufficiently strong to maintain continuity during deformation and the wires elongated to accommodate strain. A simple undercut and transfer process was demonstrated for placing the wires on flexible plastic substrates and stretchable elastomeric substrates, all while maintaining network functionality. In lieu of transferring a twodimensional structure, the wires could also be released and rolled up in a liquid environment to produce a bulk material with nanostructured constituents. The bulk material was capable of accommodating strain similarly to braided rope, indicating that a stretchable gold wire was fabricated with molding into a shape like a spring. While the aspect ratio of the metallic networks is limited by the thickness of the block copolymer film because of the deposition process, high aspect ratio structures can be fabricated using selective anisotropic etch processes. Thick silicon films may impart additional mechanical stability and allow the use of standard transfer processes relying on silicon functionality. The generic top-down processing techniques, ease of transfer, and mechanical stability under strain demonstrate that block copolymer lithography is a potentially powerful tool for patterning stretchable electronic device components. 40

51 Although block copolymer lithography is an excellent candidate for patterning at the sub- 10 nm size scale, thus far it has escaped attention as a potential tool for patterning functional devices utilizing randomly oriented domains. While also contributing to potential advances for integrated circuit lithography, the work detailed in this dissertation demonstrates that block copolymer lithography has many useful applications outside of the semiconductor industry. Fundamentally, the exotic nanostructured material combinations made possible by combining topdown microfabrication with bottom-up self-assembly may yield previously unexpected properties. Practically, successfully controlling the continuity and connectivity of block copolymer networks allows engineering of the nanostructured material properties and the transfer processes detailed herein show that stretchable device integration is possible. And finally, the far-reaching implications of additional functionality in stretchable electronics may include in-vivo biological sensors, fabrics that harness solar energy, and stretchable mobile electronic devices. This wide range of potential applications promises that block copolymer lithography will be useful beyond the semiconductor industry and may become a major technology in the nanoindustrial revolution. References 1. International Technology Roadmap for Semiconductors 2012 Update. 2. Liu, C. C.; Nealey, P. F.; Raub, A. K.; Hakeem, P. J.; Brueck, S. R. J.; Han, E.; Gopalan, P. Journal of Vacuum Science & Technology B 2010, 28, (6), C6B30-C6B Black, C. T.; Guarini, K. W.; Milkove, K. R.; Baker, S. M.; Russell, T. P.; Tuominen, M. T. Applied Physics Letters 2001, 79, (3), Segalman, R. A. Materials Science & Engineering R-Reports 2005, 48, (6), Nealey, P. F. Abstracts of Papers of the American Chemical Society 2006, 231, 57-COLL. 6. Black, C. T. ACS Nano 2007, 1, (3), Ross, C. A.; Cheng, J. Y. Mrs Bulletin 2008, 33, (9), Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Advanced Materials 2009, 21, (47), Hamley, I. W. Progress in Polymer Science 2009, 34, (11), Yang, X. M.; Wan, L.; Xiao, S. G.; Xu, Y. A.; Weller, D. K. Acs Nano 2009, 3, (7), Kim, H. C.; Park, S. M.; Hinsberg, W. D. Chemical Reviews 2010, 110, (1), Hawker, C. J.; Russell, T. P. Mrs Bulletin 2005, 30, (12),

52 13. Bates, F. S.; Fredrickson, G. H. Annual Review of Physical Chemistry 1990, 41, Jeong, J. W.; Park, W. I.; Kim, M. J.; Ross, C. A.; Jung, Y. S. Nano Letters 2011, 11, (10), Guarini, K. W.; Black, C. T.; Yeuing, S. H. I. Advanced Materials 2002, 14, (18), Bang, J.; Kim, S. H.; Drockenmuller, E.; Misner, M. J.; Russell, T. P.; Hawker, C. J. Journal of the American Chemical Society 2006, 128, (23), Bates, F. S.; Schulz, M. F.; Khandpur, A. K.; Forster, S.; Rosedale, J. H.; Almdal, K.; Mortensen, K. Faraday Discussions 1994, 98, Matsen, M. W.; Bates, F. S. Macromolecules 1996, 29, (4), Bates, F. S. Science 1991, 251, (4996), Matsen, M. W. Journal of Physics-Condensed Matter 2002, 14, (2), R21-R Semenov, A. N. Zhurnal Eksperimentalnoi I Teoreticheskoi Fiziki 1985, 88, (4), Milner, S. T.; Olmsted, P. D. Journal De Physique Ii 1997, 7, (2), Leibler, L. Macromolecules 1980, 13, (6), Thomas, E. L.; Anderson, D. M.; Henkee, C. S.; Hoffman, D. Nature 1988, 334, (6183), Thomas, E. L.; Kinning, D. J.; Alward, D. B.; Henkee, C. S. Macromolecules 1987, 20, (11), Hajduk, D. A.; Harper, P. E.; Gruner, S. M.; Honeker, C. C.; Kim, G.; Thomas, E. L.; Fetters, L. J. Macromolecules 1994, 27, (15), Thomas, E. L.; Alward, D. B.; Kinning, D. J.; Martin, D. C.; Handlin, D. L.; Fetters, L. J. Macromolecules 1986, 19, (8), Fredrickson, G. H. Macromolecules 1991, 24, (11), Delacruz, M. O.; Mayes, A. M.; Swift, B. W. Macromolecules 1992, 25, (2), Disko, M. M.; Liang, K. S.; Behal, S. K.; Roe, R. J.; Jeon, K. J. Macromolecules 1993, 26, (11), Matsen, M. W. Physical Review Letters 1995, 74, (21), Broseta, D.; Fredrickson, G. H. Journal of Chemical Physics 1990, 93, (4), Likhtman, A. E.; Semenov, A. N. Macromolecules 1997, 30, (23), Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308, (5727), Matsen, M. W.; Bates, F. S. Journal of Polymer Science Part B-Polymer Physics 1997, 35, (6), Liu, G. L.; Stoykovich, M. P.; Ji, S. X.; Stuen, K. O.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2009, 42, (8), Stuen, K. O.; Thomas, C. S.; Liu, G. L.; Ferrier, N.; Nealey, P. F. Macromolecules 2009, 42, (14), Matsen, M. W. Macromolecules 1995, 28, (17), Maurer, W. W.; Bates, F. S.; Lodge, T. P.; Almdal, K.; Mortensen, K.; Fredrickson, G. H. Journal of Chemical Physics 1998, 108, (7), Ruiz, R.; Sandstrom, R. L.; Black, C. T. Advanced Materials 2007, 19, (4), Ji, S. X.; Liu, C. C.; Liao, W.; Fenske, A. L.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2011, 44, (11),

53 42. Shin, D. O.; Jeong, J.-R.; Han, T. H.; Koo, C. M.; Park, H.-J.; Lim, Y. T.; Kim, S. O. Journal of Materials Chemistry 2010, 20, (34), Hong, A. J.; Liu, C. C.; Wang, Y.; Kim, J.; Xiu, F. X.; Ji, S. X.; Zou, J.; Nealey, P. F.; Wang, K. L. Nano Letters 2010, 10, (1), Jeong, S. J.; Moon, H. S.; Shin, J.; Kim, B. H.; Shin, D. O.; Kim, J. Y.; Lee, Y. H.; Kim, J. U.; Kim, S. O. Nano Letters 2010, 10, (9), Sundstrom, L.; Krupp, L.; Delenia, E.; Rettner, C.; Sanchez, M.; Hart, M. W.; Kim, H. C.; Zhang, Y. Applied Physics Letters 2006, 88, (24). 46. Ku, S. J.; Kim, S. M.; Bak, C. H.; Kim, J.-B. Polymer 2011, 52, (1), Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Elam, J. W.; Darling, S. B. Journal of Physical Chemistry C 2011, 115, (36), Yin, J.; Xu, Q.; Wang, Z.; Yao, X.; Wang, Y. Journal of Materials Chemistry C 2013, 1, (5), Parnell, A. J.; Pryke, A.; Mykhaylyk, O. O.; Howse, J. R.; Adawi, A. M.; Terrill, N. J.; Fairclough, J. P. A. Soft Matter 2011, 7, (8), Stoykovich, M. P.; Nealey, P. F. Materials Today 2006, 9, (9), Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C. C.; de Pablo, J. J.; Mueller, M.; Nealey, P. F. ACS Nano 2007, 1, (3), Jeong, S. J.; Moon, H. S.; Kim, B. H.; Kim, J. Y.; Yu, J.; Lee, S.; Lee, M. G.; Choi, H.; Kim, S. O. ACS Nano 2010, 4, (9), Krausch, G. Materials Science & Engineering R-Reports 1995, 14, (1-2), Osuji, C.; Ferreira, P. J.; Mao, G. P.; Ober, C. K.; Vander Sande, J. B.; Thomas, E. L. Macromolecules 2004, 37, (26), Amundson, K.; Helfand, E.; Quan, X. N.; Hudson, S. D.; Smith, S. D. Macromolecules 1994, 27, (22). 56. Morkved, T. L.; Lu, M.; Urbas, A. M.; Ehrichs, E. E.; Jaeger, H. M.; Mansky, P.; Russell, T. P. Science 1996, 273, (5277), Schaffer, E.; Thurn-Albrecht, T.; Russell, T. P.; Steiner, U. Nature 2000, 403, (6772), Koppi, K. A.; Tirrell, M.; Bates, F. S.; Almdal, K.; Colby, R. H. Journal De Physique Ii 1992, 2, (11), Angelescu, D. E.; Waller, J. H.; Register, R. A.; Chaikin, P. M. Advanced Materials 2005, 17, (15), Wu, M. W.; Register, R. A.; Chaikin, P. M. Physical Review E 2006, 74, (4). 61. Marencic, A. P.; Wu, M. W.; Register, R. A.; Chaikin, P. M. Macromolecules 2007, 40, (20), Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. J. Science 1997, 275, (5305), Huang, E.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 1998, 31, (22), Husseman, M.; Malmstrom, E. E.; McNamara, M.; Mate, M.; Mecerreyes, D.; Benoit, D. G.; Hedrick, J. L.; Mansky, P.; Huang, E.; Russell, T. P.; Hawker, C. J. Macromolecules 1999, 32, (5),

54 65. Ryu, D. Y.; Shin, K.; Drockenmuller, E.; Hawker, C. J.; Russell, T. P. Science 2005, 308, (5719), In, I.; La, Y. H.; Park, S. M.; Nealey, P. F.; Gopalan, P. Langmuir 2006, 22, (18), Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Macromolecules 2008, 41, (17), Han, E.; Stuen, K. O.; La, Y. H.; Nealey, P. F.; Gopalan, P. Macromolecules 2008, 41, (23), Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41, (23), Han, E.; Stuen, K. O.; Leolukman, M.; Liu, C. C.; Nealey, P. F.; Gopalan, P. Macromolecules 2009, 42, (13), Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, (6947), Yang, J. K. W.; Jung, Y. S.; Chang, J. B.; Mickiewicz, R. A.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Nature Nanotechnology 2010, 5, (4), Jung, Y. S.; Chang, J. B.; Verploegen, E.; Berggren, K. K.; Ross, C. A. Nano Letters 2010, 10, (3), Wang, Q.; Yan, Q. L.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2000, 33, (12), Wang, Q. Macromolecular Theory and Simulations 2005, 14, (2), Liu, G.; Ramirez-Hernandez, A.; Yoshida, H.; Nygard, K.; Satapathy, D. K.; Bunk, O.; de Pablo, J. J.; Nealey, P. F. Physical Review Letters 2012, 108, (6). 77. Ramirez-Hernandez, A.; Liu, G.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2012, 45, (5), Zhang, X. J.; Harns, K. D.; Wu, N. L. Y.; Murphy, J. N.; Buriak, J. M. ACS Nano 2010, 4, (11), Kim, H. C.; Hinsberg, W. D. Journal of Vacuum Science & Technology A 2008, 26, (6), Welander, A. M.; Kang, H. M.; Stuen, K. O.; Solak, H. H.; Muller, M.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2008, 41, (8), Daga, V. K.; Schwartz, E. L.; Chandler, C. M.; Lee, J. K.; Lin, Y.; Ober, C. K.; Watkins, J. J. Nano Letters 2011, 11, (3), Ohta, T.; Kawasaki, K. Macromolecules 1986, 19, (10), Fryer, D. S.; Peters, R. D.; Kim, E. J.; Tomaszewski, J. E.; de Pablo, J. J.; Nealey, P. F.; White, C. C.; Wu, W. L. Macromolecules 2001, 34, (16), Harrison, C.; Adamson, D. H.; Cheng, Z. D.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Science 2000, 290, (5496). 85. Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. Macromolecules 2006, 39, (16), Huang, E.; Mansky, P.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 2000, 33, (1), Takahashi, H.; Laachi, N.; Delaney, K. T.; Hur, S.-M.; Weinheimer, C. J.; Shykind, D.; Fredrickson, G. H. Macromolecules 2012, 45, (15),

55 88. Odani, H.; Kida, S.; Tamura, M. Bulletin of the Chemical Society of Japan 1966, 39, (11), 2378-&. 89. Wang, B. G.; Yamaguchi, T.; Nakao, S. I. Journal of Polymer Science Part B-Polymer Physics 2000, 38, (6), Kim, S. H.; Misner, M. J.; Xu, T.; Kimura, M.; Russell, T. P. Advanced Materials 2004, 16, (3), Kim, S. H.; Misner, M. J.; Russell, T. P. Advanced Materials 2004, 16, (23-24), Cavicchi, K. A.; Berthiaume, K. J.; Russell, T. P. Polymer 2005, 46, (25), Ho, R. M.; Tseng, W. H.; Fan, H. W.; Chiang, Y. W.; Lin, C. C.; Ko, B. T.; Huang, B. H. Polymer 2005, 46, (22), Cavicchi, K. A.; Russell, T. P. Macromolecules 2007, 40, (4), Guo, R.; Huang, H.; Chen, Y.; Gong, Y.; Du, B.; He, T. Macromolecules 2008, 41, (3), Fitzgerald, T. G.; Farrell, R. A.; Petkov, N.; Bolger, C. T.; Shaw, M. T.; Charpin, J. P. F.; Gleeson, J. P.; Holmes, J. D.; Morris, M. A. Langmuir 2009, 25, (23), Jung, Y. S.; Ross, C. A. Advanced Materials 2009, 21, (24), Di, Z.; Posselt, D.; Smilgies, D.-M.; Papadakis, C. M. Macromolecules 2010, 43, (1), Paik, M. Y.; Bosworth, J. K.; Smilges, D.-M.; Schwartz, E. L.; Andre, X.; Ober, C. K. Macromolecules 2010, 43, (9), Phillip, W. A.; Hillmyer, M. A.; Cussler, E. L. Macromolecules 2010, 43, (18), Albert, J. N. L.; Bogart, T. D.; Lewis, R. L.; Beers, K. L.; Fasolka, M. J.; Hutchison, J. B.; Vogt, B. D.; Epps, T. H., III. Nano Letters 2011, 11, (3), Kim, B.; Hong, S. W.; Park, S.; Xu, J.; Hong, S.-K.; Russell, T. P. Soft Matter 2011, 7, (2), Gotrik, K. W.; Hannon, A. F.; Son, J. G.; Keller, B.; Alexander-Katz, A.; Ross, C. A. ACS Nano 2012, 6, (9), Lee, D. H.; Cho, H.; Yoo, S.; Park, S. Journal of Colloid and Interface Science 2012, 383, Takenaka, M.; Aburaya, S.; Akasaka, S.; Hasegawa, H.; Hadjichristidis, N.; Sakellariou, G.; Tada, Y.; Yoshida, H. Journal of Polymer Science Part B-Polymer Physics 2010, 48, (22), Gu, X.; Liu, Z.; Gunkel, I.; Chourou, S. T.; Hong, S. W.; Olynick, D. L.; Russell, T. P. Advanced Materials 2012, 24, (42), Aizawa, M.; Buriak, J. M. Chemistry of Materials 2007, 19, (21), Nagpal, U.; Muller, M.; Nealey, P. F.; de Pablo, J. J. ACS Macro Letters 2012, 1, (3), Stoykovich, M. P.; Edwards, E. W.; Solak, H. H.; Nealey, P. F. Physical Review Letters 2006, 97, (14) Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Advanced Materials 2001, 13, (15), Xiao, S. G.; Yang, X. M.; Edwards, E. W.; La, Y. H.; Nealey, P. F. Nanotechnology 2005, 16, (7), S324-S

56 112. Chen, F.; Akasaka, S.; Inoue, T.; Takenaka, M.; Hasegawa, H.; Yoshida, H. Macromolecular Rapid Communications 2007, 28, (22), Jung, Y. S.; Lee, J. H.; Lee, J. Y.; Ross, C. A. Nano Letters 2010, 10, (9), Jeong, S. J.; Kim, J. E.; Moon, H. S.; Kim, B. H.; Kim, S. M.; Kim, J. B.; Kim, S. O. Nano Letters 2009, 9, (6), Xiang, H. Q.; Shin, K.; Kim, T.; Moon, S. I.; McCarthy, T. J.; Russell, T. P. Macromolecules 2004, 37, (15), Jung, Y. S.; Jung, W.; Ross, C. A. Nano Letters 2008, 8, (9), Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Science 2008, 321, (5891), Ruiz, R.; Kang, H. M.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Science 2008, 321, (5891), Liu, G. L.; Thomas, C. S.; Craig, G. S. W.; Nealey, P. F. Advanced Functional Materials 2010, 20, (8), Hannon, A. F.; Gotrik, K. W.; Ross, C. A.; Alexander-Katz, A. ACS Macro Letters 2013, 2, (3), Stoykovich, M. P.; Daoulas, K. C.; Muller, M.; Kang, H. M.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2010, 43, (5), Ross, C. Annual Review of Materials Research 2001, 31, Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Advanced Materials 2001, 13, (15), Tang, C. B.; Lennon, E. M.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Science 2008, 322, (5900), Li, W. H.; Qiu, F.; Yang, Y. L.; Shi, A. C. Macromolecules 2010, 43, (3), Marencic, A. P.; Register, R. A., Controlling Order in Block Copolymer Thin Films for Nanopatterning Applications. In Annual Review of Chemical and Biomolecular Engineering, Vol 1, Prausnitz, J. M.; Doherty, M. F.; Segalman, M. A., Eds. 2010; Vol. 1, pp Mishra, V.; Fredrickson, G. H.; Kramer, E. J. ACS Nano 2012, 6, (3), Willets, K. A.; Van Duyne, R. P., Localized surface plasmon resonance spectroscopy and sensing. In Annual Review of Physical Chemistry, 2007; Vol. 58, pp Link, S.; El-Sayed, M. A. International Reviews in Physical Chemistry 2000, 19, (3), Haes, A. J.; Van Duyne, R. P. Journal of the American Chemical Society 2002, 124, (35), Anker, J. N.; Hall, W. P.; Lyandres, O.; Shah, N. C.; Zhao, J.; Van Duyne, R. P. Nature Materials 2008, 7, (6), Stewart, M. E.; Anderton, C. R.; Thompson, L. B.; Maria, J.; Gray, S. K.; Rogers, J. A.; Nuzzo, R. G. Chemical Reviews 2008, 108, (2), Jain, P. K.; Huang, X.; El-Sayed, I. H.; El-Sayed, M. A. Accounts of Chemical Research 2008, 41, (12), Mock, J. J.; Barbic, M.; Smith, D. R.; Schultz, D. A.; Schultz, S. Journal of Chemical Physics 2002, 116, (15), Hutter, E.; Fendler, J. H. Advanced Materials 2004, 16, (19),

57 136. Brannon-Peppas, L.; Blanchette, J. O. Advanced Drug Delivery Reviews 2004, 56, (11), Gao, X. H.; Cui, Y. Y.; Levenson, R. M.; Chung, L. W. K.; Nie, S. M. Nature Biotechnology 2004, 22, (8), Loo, C.; Lin, A.; Hirsch, L.; Lee, M. H.; Barton, J.; Halas, N. J.; West, J.; Drezek, R. Technology in Cancer Research & Treatment 2004, 3, (1), Chen, J.; Wang, D.; Xi, J.; Au, L.; Siekkinen, A.; Warsen, A.; Li, Z.-Y.; Zhang, H.; Xia, Y.; Li, X. Nano Letters 2007, 7, (5), Dickerson, E. B.; Dreaden, E. C.; Huang, X.; El-Sayed, I. H.; Chu, H.; Pushpanketh, S.; McDonald, J. F.; El-Sayed, M. A. Cancer Letters 2008, 269, (1), Mock, J. J.; Smith, D. R.; Schultz, S. Nano Letters 2003, 3, (4), Schaadt, D. M.; Feng, B.; Yu, E. T. Applied Physics Letters 2005, 86, (6) Heidel, T. D.; Mapel, J. K.; Singh, M.; Celebi, K.; Baldo, M. A. Applied Physics Letters 2007, 91, (9) Morfa, A. J.; Rowlen, K. L.; Reilly, T. H., III; Romero, M. J.; van de lagemaat, J. Applied Physics Letters 2008, 92, (1) Yoon, W.-J.; Jung, K.-Y.; Liu, J.; Duraisamy, T.; Revur, R.; Teixeira, F. L.; Sengupta, S.; Berger, P. R. Solar Energy Materials and Solar Cells 2010, 94, (2), Qiao, L.; Wang, D.; Zuo, L.; Ye, Y.; Qian, J.; Chen, H.; He, S. Applied Energy 2011, 88, (3), Hoppe, H.; Sariciftci, N. S. Journal of Materials Research 2004, 19, (7), Lindquist, N. C.; Luhman, W. A.; Oh, S.-H.; Holmes, R. J. Applied Physics Letters 2008, 93, (12) Nakayama, K.; Tanabe, K.; Atwater, H. A. Applied Physics Letters 2008, 93, (12) Kim, J. Y.; Kim, B. H.; Hwang, J. O.; Jeong, S.-J.; Shin, D. O.; Mun, J. H.; Choi, Y. J.; Jin, H. M.; Kim, S. O. Advanced Materials 2013, 25, (9), Aizawa, M.; Buriak, J. M. Journal of the American Chemical Society 2006, 128, (17), Menke, E. J.; Thompson, M. A.; Xiang, C.; Yang, L. C.; Penner, R. M. Nature Materials 2006, 5, (11), Nirmalraj, P. N.; Bellew, A. T.; Bell, A. P.; Fairfield, J. A.; McCarthy, E. K.; O'Kelly, C.; Pereira, L. F. C.; Sorel, S.; Morosan, D.; Coleman, J. N.; Ferreira, M. S.; Boland, J. J. Nano Letters 2012, 12, (11), Hoppe, H.; Sariciftci, N. S. Journal of Materials Chemistry 2006, 16, (1), Yu, G.; Gao, J.; Hummelen, J. C.; Wudl, F.; Heeger, A. J. Science 1995, 270, (5243), Alem, S.; de Bettignies, R.; Nunzi, J. M.; Cariou, M. Applied Physics Letters 2004, 84, (12), Li, G.; Shrotriya, V.; Huang, J. S.; Yao, Y.; Moriarty, T.; Emery, K.; Yang, Y. Nature Materials 2005, 4, (11), Slota, J. E.; He, X.; Huck, W. T. S. Nano Today 2010, 5, (3), Singh, M.; Odusanya, O.; Wilmes, G. M.; Eitouni, H. B.; Gomez, E. D.; Patel, A. J.; Chen, V. L.; Park, M. J.; Fragouli, P.; Iatrou, H.; Hadjichristidis, N.; Cookson, D.; Balsara, N. P. Macromolecules 2007, 40, (13),

58 160. Panday, A.; Mullin, S.; Gomez, E. D.; Wanakule, N.; Chen, V. L.; Hexemer, A.; Pople, J.; Balsara, N. P. Macromolecules 2009, 42, (13), Jha, A. K.; Chen, L.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2011, 373, (1-2), Jha, A. K.; Tsang, S. L.; Ozcam, A. E.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2012, 401, Hu, L.; Hecht, D. S.; Gruner, G. Nano Letters 2004, 4, (12), Kocabas, C.; Hur, S. H.; Gaur, A.; Meitl, M. A.; Shim, M.; Rogers, J. A. Small 2005, 1, (11), Kocabas, C.; Pimparkar, N.; Yesilyurt, O.; Kang, S. J.; Alam, M. A.; Rogers, J. A. Nano Letters 2007, 7, (5), Cao, Q.; Kim, H.-s.; Pimparkar, N.; Kulkarni, J. P.; Wang, C.; Shim, M.; Roy, K.; Alam, M. A.; Rogers, J. A. Nature 2008, 454, (7203), 495-U Khandpur, A. K.; Forster, S.; Bates, F. S.; Hamley, I. W.; Ryan, A. J.; Bras, W.; Almdal, K.; Mortensen, K. Macromolecules 1995, 28, (26), Gido, S. P.; Gunther, J.; Thomas, E. L.; Hoffman, D. Macromolecules 1993, 26, (17), Gido, S. P.; Thomas, E. L. Macromolecules 1994, 27, (3), Gido, S. P.; Thomas, E. L. Macromolecules 1994, 27, (21), Gido, S. P.; Thomas, E. L. Macromolecules 1997, 30, (13),

59 Chapter 2: Network connectivity and long-range continuity of lamellar morphologies in block copolymer thin films *This chapter was published in Macromolecules in January Abstract The connectivity, and thus long-range continuity of the domains, in a lamellar polystyreneblock-poly(methyl methacrylate) copolymer in thin films depends on the volume fraction of each block and can be shifted by homopolymer addition to either full continuity of the polystyrene (PS) or poly(methyl methacrylate) (PMMA) domains. Essential features of the lamellar morphology were captured by a simple network analysis that quantified the number of branch points and endpoints in the lamellar domains. The transition in network continuity from the PS to PMMA domain as a function of copolymer volumetric composition (from fps = 0.55 to 0.45) was correlated with a five-fold increase in the PMMA branch point density and a concomitant three-fold reduction in the PMMA endpoint density. These results indicate that the copolymer s composition drastically impacts the self-assembled lamellar morphology in thin films and is an important design consideration when using such materials for lithographic applications, including for directed assembly to generate long-range, defect-free order. Introduction The directed self-assembly of block copolymers in thin films is an emerging technology with the potential to compete with traditional lithographic techniques for patterning at the nanoscale. 1-9 Self-assembling materials such as block copolymers have many advantages as lithographic materials, including tunable feature sizes below 50 nm, parallel assembly over arbitrarily large areas, and the potential for lower patterning costs The morphology and feature size of the nanostructures self-assembled by diblock copolymers are dictated by the overall 49

60 molecular weight of the copolymer and the relative volume fraction of the components Morphologies commonly formed by diblock copolymers in thin films are lamellae, close packed cylinders, spheres, and the bicontinuous gyroid , 21, 22 Among these morphologies, lamellar structures have attracted interest for the patterning of integrated circuit layouts and the fabrication of nanomeshes 27 and optical filters 28, 29, whereas cylindrical structures have been pursued for high density storage media 30-32, photonic devices 33-35, or filtration membranes Controlled orientation of the block copolymer nanostructures with respect to the substrate is also an important parameter for lithographic applications, with lamellae and cylinders in thin films being able to assemble with interfaces between domains oriented either perpendicular or parallel to the substrate. Most lithographic applications that involve pattern transfer or templating to the substrate benefit from continuous pathways through the thickness of the film, therefore approaches such as non-preferential wetting surfaces 40, 41 and electric fields 42, 43 have been developed to achieve perpendicular domain orientations in thin films. The lamellar morphology formed by block copolymers in thin films lacks long-range order and has highly curved, tortuous, and intertwined domains when the interface between domains is oriented perpendicular to the substrate. This block copolymer morphology is informally referred to as the fingerprint morphology due to structural resemblances with the loops, whorls, and arches found in human fingerprints. Although the lamellar morphology is readily recognized upon top-down imaging of the film surface by atomic force or scanning electron microscopy, the finer details of the morphology have remained unexplored, including the extent of domain continuity, network connectivity due to branching within the domains, and impact of the copolymer composition on the nanostructure. The continuity of block copolymer domains in bulk systems has been carefully considered for applications that require materials with three-dimensional 50

61 percolating pathways for transport (e.g., material or charge transport), with bicontinuous morphologies such as gyroids 22, perforated lamellae 44-46, cubic bicontinuous 35, 47, and doublediamond bicontinuous 48 being of particular interest. Continuous networks of lamellar domains in thin films can also allow for long-range, in-plane transport within the nanostructured material. For example, an interconnected arrangement of metal nanowires deposited on glass using a lamellar block copolymer mask may be applied as a transparent, large area electrode. Directed self-assembly of lamellar-forming block copolymers into dense arrays of lines with long-range order, using either chemically patterned substrates 23, or graphoepitaxially in topographic features 52, 53, would also benefit from a better understanding of the network connectivity and continuity of the native lamellar structures. Dislocation and disclination defects that arise during directed assembly may occur with frequencies and in configurations dependent on the connectivity of lamellar structure. 54 These properties of the defects are likely to influence their ability to be annihilated during thermal annealing processes, as well as the dynamics and rates with which defect-free assembly can be achieved. 54 Furthermore, the propagation of the defectfree assemblies of lamellae away from chemically patterned substrates is limited to ~100 nm thick films. In increasingly thick films, the directing effect of the underlying substrate is diminished and the lamellar morphology gradually reverts to its native fingerprint morphology with significant branching between domains and no long-range order. The use of lamellar copolymer systems with minimal branching and domain connectivity may suppress the initial formation of such interconnected networks and allow directed assembly of defect-free arrays to be achieved in thicker films. In this work we characterize the connectivity of block copolymer lamellae in thin films oriented perpendicular to a non-preferential wetting substrate and present a straightforward method 51

62 to control the continuity of the self-assembled network structures. Networks formed by simple block copolymer systems vary from exhibiting full continuity in the PS domain to full continuity in the PMMA domain. The density of branch points and endpoints in the network and the distribution of network sizes are discovered to follow trends associated solely with domain connectivity. The overall composition of the self-assembling material was systematically varied by blending a lamellar-forming diblock copolymer of polystyrene-block-poly(methyl methacrylate) with either polystyrene (PS) or poly(methyl methacrylate) (PMMA) homopolymer. The lamellar morphology and domain connectivity is qualitatively and quantitatively symmetric around the block copolymer blend with equal volume fractions of PS and PMMA (50 vol% PS and 50 vol% PMMA), demonstrating that the lamellar structure is primarily a function of overall composition and is independent of the chemical functionality of the components. Finally, distributions of the interfacial curvature are reported for each lamellar system, and the standard deviation of curvature is found to increase with compositional asymmetry, indicative of more highly curved networks. These results show that all lamellar morphologies formed by block copolymers in thin films are not structurally equivalent, and thus will impact the selection of such materials for lithographic and templating applications, including in the directed self-assembly of microelectronics devices. Materials and Methods Substrate Preparation: Block copolymers in thin films on substrates that are preferentially wet by one of the components self-assemble into morphologies oriented parallel to the substrate, e.g., PMMA preferentially wets the native oxide on Si wafers leading to parallel-oriented morphologies. Here, a neutral surface that is non-preferentially wet by either of the block copolymer 52

63 components is used to orient the assembled lamellar morphologies perpendicular to the substrate, which is the preferred orientation for templating or lithographic applications due to the domain continuity from the top to the bottom of the film. Silicon wafers were purchased from Montco Silicon, and rinsed in acetone and toluene prior to any processing. Wafers were completely dried using a stream of nitrogen. A random copolymer was synthesized from styrene, methyl methacrylate, and glycidyl methacrylate by free radical polymerization (final molar composition of 58% styrene, 31% methyl methacrylate, and 1% glycidyl methacrylate). A 0.3 wt% solution of the random copolymer in anhydrous toluene (EMD Chemicals, 99.8 wt%) was prepared and spincoated onto dry silicon wafers. Annealing at 160 C for 4 hours crosslinked the random copolymer into a dense mat, and subsequent rinsing in a toluene bath removed unreacted polymer. Block Copolymer Processing: Thin films of block copolymer were spun onto the prepared neutral surfaces and thermally annealed to self-assemble the characteristic lamellar morphologies. Block copolymer [polystyrene-block-poly(methyl methacrylate) or PS-b-PMMA, 53k:54k, PDI=1.16], polystyrene homopolymer (22.2k, PDI=1.07), and poly(methyl methacrylate) homopolymer (21.4k, PDI=1.07) were used as purchased from Polymer Source, Inc. Individual solutions of block copolymer and homopolymer were prepared by weighing out dry polymer and adding anhydrous toluene drop-wise to make solutions of 1.5 wt% polymer. Blends of block copolymer and homopolymer were prepared by mixing the stock solutions in the desired ratios. The fraction of homopolymer added varied from blend to blend and ranged from 5 wt% PS to 15 wt% PMMA. Polymer solutions were spincoated onto Si wafers treated with the neutral surface to produce films ~50 nm thick. The polymer films were annealed under ~2 Torr vacuum for 10 days at 190 C to self-assemble the block copolymer morphologies. These annealing conditions resulted in lamellar 53

64 morphologies that have quantitative characteristics (i.e., periodicity and connectivity) that remain unchanged under further thermal processing. Nanostructure Imaging: The block copolymer morphology in thin films was imaged by top-down scanning electron microscopy (SEM) using a JEOL JSM-7401F operating at a gun voltage of 2kV and a sample bias of 1 kv. The gun emission current was maintained at 10 ma and all images were captured using an in-lens secondary electron detector. Each image was captured at a magnification of 25,000. Characterization of the Lamellar Block Copolymer Morphology: The lamellar morphology was characterized using an in-house image analysis program coded in Matlab (v. R2011a, The Mathworks, Natick, MA). The raw SEM images were filtered and converted from grayscale to black and white in Matlab. The dark gray/black and light gray/white regions in the images represent the PMMA and PS domains, respectively. Filtering was able to approximately equalize the width of the PS and PMMA domains in the raw SEM images. Continuous networks formed by the black and white pixels were extracted, and subsequently assigned a unique numerical label and distinct color. Quantitative metrics of the network connectivity and continuity in both the PS and PMMA domains were calculated for individual images, and reported as the average results from ten distinct SEM images. The relative size of the networks was calculated by counting the number of pixels in each network. Each area was then normalized to the total number of pixels in the image. The areal density of endpoints and branch points in the networks was also quantified. The network structures were skeletonized (i.e., reduced to a continuous width of a single pixel) and despurred (i.e., small branches and pixels that do not contribute to connectivity were eliminated) to remove any anomalous branches produced by localized variations in domain width. Each branch point 54

65 was eliminated when identified to prevent double counting and to subdivide the skeleton for the calculation of curvature. Curvature was calculated by first fitting a fifth order polynomial to each point and the six nearest neighboring points on the skeleton, and then by applying the curvature equation κ = y /(1+y 2 ) 3/2 where y is the polynomial function of x at each position on the skeleton. Subdividing the skeleton into 7 point sections was determined to be sufficient to capture the local curvature of the network structure without the danger of a poor fit due to multivalued S-shaped curves. Calculations using polynomial fits based on fewer than 7 points were unable to accurately capture the behavior of highly curved structures. Results Figure 11 shows an example of a colorized network image for lamellae assembled by a pure PS-b-PMMA block copolymer to demonstrate the native continuity and connectivity of the polystyrene (PS) and poly(methyl methacrylate) (PMMA) domains. Figure 11a shows the raw SEM image, and Figures 1b and c provide the corresponding colorized maps of the connected PS and PMMA domains, respectively. Each connected domain is shown in a separate color to provide visual information on the overall connectivity of the lamellae, but some colors are repeated in the image due to a limited color palette. Domains with a high degree of continuity produce a single network that span the entire imaged area, connect every image border, and leave very few unlinked regions. Low continuity in the lamellar domains is typified, in comparison, by a large number of distinct segments that are each relatively small compared to the overall image. The PS domain in the pure diblock copolymer characterized in Figure 11 formed large networks and was highly interconnected, as emphasized by the large red network that spans the entire image (3.56 μm x 4.8 μm). There are some isolated PS features within the larger network, generally resembling small segments or loops, but their fraction of the total image area is small. On the other hand, the PMMA 55

66 domain was separated into many short segments and there was no single network that connected multiple image borders. The composition of this block copolymer blend system is slightly asymmetric, with volume fractions (f) of for the PS component and for PMMA component. This slight asymmetry in composition was sufficient to produce additional connectivity in the PS domains, in the form of additional branches or bridges, that generated large, continuous PS networks and concomitantly divided the PMMA domains into short, separated segments. The network connectivities of block copolymer blend systems with fpmma = 0.45, 0.48, 0.50, 0.52, and 0.55 are shown in Figure 12. The largest continuous PS network is shown in red for each system, and the largest continuous PMMA networks are shown in blue. The largest Figure 11: BCP Network Analysis Network analysis of an assembled PS-b- PMMA (53k:54k) thin film with fpmma = The raw SEM image is shown in a) with colorized networks for b) PS and c) PMMA. Images are 3.56 μm x 4.8 μm, and the scale bar corresponds to 400 nm. networks in some cases span nearly the entire field of view, but do not include small networks that border the image boundary. It is important to note, however, that these small features are 56

67 likely connected to the primary network at some point outside the field of view. The largest and most highly interconnected networks transition from occurring in the PS to the PMMA domain as the volume fraction of PMMA was increased from fpmma = 0.45 to Changes in network structure are most visible between copolymer blend systems with 0.48 fpmma A single PS network is observed over the entire field of view for fpmma = 0.48 (the corresponding PMMA domain displays short distinct segments due to low connectivity), but conversely many short PS segments are formed when fpmma = 0.52 (now the PMMA domain forms a large-scale network). Systems with fpmma = 0.50 have high connectivity and large networks in both of the lamellar domains, with some networks spanning the large areas of the field of view. The network structures are therefore qualitatively symmetric around the copolymer blend composition fpmma = 0.50, even though the homopolymer fractions range from 5 wt% PS to 15 wt% PMMA for fpmma = 0.45 to 0.55, respectively. This observation suggests that the homopolymer concentration does not influence the lamellar morphologies self-assembled by the copolymer systems and only serves to shift the overall system composition. The highly connected networks span much larger areas than those characterized in Figures 11 and 12. Composite images covering at least 8.8 μm x 4.6 μm were stitched together from 6 neighboring SEM snapshots to provide large area images with a high resolution. The large area composite images, as well as the colorized PS and PMMA network structures of each image, for the pure block copolymer system (fpmma = 0.48) and the block copolymer blend system with fpmma = 0.52, are included in the Appendix. Continuous networks that cover the 57

68 majority of these large areas are observed for the domain with the higher volumetric concentration Figure 12: Block Copolymer Network Continuity Network connectivity of PS (left column) and PMMA (right column) as a function of volume fraction. As the volume fraction for each component decreases, the number of connected networks increases and the average size of each network decreases. Images are 3.56 μm x 4.8 μm, and the scale bars correspond to 400 nm. corresponds to 400 nm. 58

69 in these asymmetric block copolymer blend systems. Some isolated networks exist within the primary network, but occur with low number and areal frequency. Based on the analysis of these large areas, it is reasonable to conclude that continuous, interconnected lamellar networks are generated over macroscale areas for a film of block copolymers systems with asymmetric compositions. Network connectivity and continuity are directly correlated to the composition of the block copolymer system. As the extent of branching increases, the continuity of the network is enhanced and the probability of forming isolated, non-interconnected domains is diminished. The areal density of branch points and endpoints in the lamellar morphologies are shown in Figure 13 as a function of the volume fraction of PMMA in the copolymer blend systems. Individual Figure 13: Branch and Endpoint Densities for Lamellar Blends Branch and endpoint density [number/μm 2 ] for PS ( ) and PMMA ( ) over a range of blend compositions. Branch density increases with increasing volume fraction and leads to greater network connectivity. The number of endpoints in a domain increases as the volume fraction of that component decreases, corresponding to a more disjointed network. Error bars correspond to the standard deviation for 10 images. 59 points in Figure 13 were averaged over 10 distinct SEM images and the error bars correspond to one standard deviation of the measurements. The lamellar domains of the minority component have a high density of endpoints and a low density of branch points. Increased concentration of one component

70 leads directly to an increase in the number of bridges between neighboring lamellae in that domain and a concomitant decrease in the density of lamellar endpoints. The domain with the greater density of branch points transitions from the PS domain for fpmma < 0.49 to the PMMA domain for fpmma > This crossover point at fpmma 0.50 corresponds to a shift in the majority component of the copolymer blend and the formation of larger, more interconnected networks. The curves of branch point and endpoint density are nearly symmetric around fpmma = 0.50 for the copolymer components, which indicates that the network structure is independent of the molecular components. A simple model of lamellar connectivity in thin films can provide insight on the long-range continuity of networks in the case of a copolymer system with a perfectly symmetric composition (fpmma = 0.50). Starting with a simple lamellar network of parallel domains, with the lamellae extended to the extremes of the film as shown in Figure 14a, the adjacent domains of PS or PMMA are not interconnected and there is not a single network that spans the entire film. In order to form a larger PS network, an interconnection or bridge forms between adjacent PS domains. Simultaneously, the PMMA domains must also form an interconnection in order to maintain the compositional symmetry of the system (fpmma = 0.50). An example of this process is shown in Figure 14b. The formation of the PS bridge requires that two PS domains are joined into a larger continuous domain and a PMMA domain is divided in two smaller segments. The subsequent addition of a PMMA interconnection couples two adjacent PMMA domains into a single network and concomitantly subdivides a single PS domain into two separate domains. This pairwise process of adding PS and PMMA interconnections to the system can be repeated (nine times as in Figure 14c) until the lamellae resemble the network morphology observed experimentally in the self-assembled films with fpmma = 0.50 (see Figure 12c). Each bridge that is formed separates the 60

71 opposite domain into smaller segments with endpoints, and therefore neither component can have full connectivity across an entire surface. An extreme case is shown in Figure 14d, where both the PMMA and PS domains exist as single networks but do not contact all four substrate borders. Copolymer blend systems with Figure 14: Continuity Model For f = 0.50: a) Perfectly aligned lamellar block copolymer. b) As connections form, larger networks are generated but divide other domains. c) When assembled randomly, the networks are highly branched and interweave but still do not span the entire substrate. d) An ideal network may be where both domains are fully connected, but neither domain connects all four substrate borders. The number of endpoints and branch points for each component is shown below the image. asymmetric compositions (fpmma < 0.50 or fpmma > 0.50) theoretically have excess material to form a single network that reaches every substrate border. Low compositional asymmetry does not experimentally lead to long-range network connectivity, however, due to the nature of the self-assembly process and the randomness with which the branch points are located within the network. Lamellar systems with greater compositional asymmetry have a high enough spatial concentration of bridging to overcome the randomness of the self-assembly processes, such that a single network is generated that spans the entire surface of the thin film. A continuous network spanning the entire field of view can easily be observed in Figure 12 in domains for which the volume fraction is equal to In both cases the largest network is continuous between all four borders of the image and covers a high fraction of the image area. The domain of the minority component is divided into many short segments. 61

72 Lamellae in block copolymer blend systems with greater compositional asymmetry exhibit similar degrees of continuity and network sizes. Figure 15 shows distributions of the size of the continuous networks in the PS (red) and PMMA (blue) domains. The normalized size of each connected network was calculated by counting the number of pixels and dividing by the total number of pixels for that domain in the entire image. The normalized network size was reported as 1.0 in the limit that only one network was present for a particular domain in an image and each network was weighted by its size in the histogram. Distributions of network size shown in Figure 15 were averaged over 10 distinct images. The size distributions quantitatively capture the shift from small networks or segments in domains with low volumetric concentrations (f = 0.45) to large, nearly fully interconnected networks in domains with high volumetric concentrations (f = 0.55). For example, the PMMA domains formed by a copolymer blend with fpmma = 0.45 are all less than 5% of the image area and there is no large network. Copolymer blends with fpmma = 0.55 formed large networks such that the majority of the PMMA domains consumed 62 Figure 15: Network Size Distribution Normalized size distribution of connected networks of PS ( ) and PMMA ( ) at different volume fractions of PMMA. The area of each connected network in an image was found and resized as a fraction either the total PS or PMMA domain size (e.g. a single connected network for PMMA or PS in an image corresponds to a size of 1) and weighted based on size (e.g. a single network at size 1 has a weight of 1). Distributions were averaged over 10 images.

73 between 70 and 95% of each image area. The normalized size distributions measured for the PS and PMMA domains are slightly different, with the PS domains displaying a greater propensity than the PMMA domains for forming very large networks at asymmetric copolymer blend compositions. Unlike the PMMA domain, when fps = 0.45 (i.e., fpmma = 0.55) only 75% of the PS networks consume less than 5% of the image area and there is a small tail of larger networks. Similarly when fps = 0.55 (i.e., fpmma = 0.45) nearly all the PS networks fill % of the PS lamellae in the field of view. Copolymer blends with the symmetric composition of fpmma = 0.50 display nearly equivalent normalized size distributions for the PS and PMMA domains; a small fraction of networks span more than 50% of the image area, many networks occupy 10-50% of image area, and most frequently short segments form that take up less than 10% of the image size. Increasing the compositional asymmetry of lamellar-forming copolymers leads to increases in branching in the majority domain (Figure 13), and thus more interconnections and larger continuous network structures (Figure 15). These quantitative results are consistent with the lamellar structures captured in Figure 12. The connectivity, network size, branch density, and endpoint density of the domains of the majority component of the copolymer is independent of the homopolymer concentration. The greater density of endpoints observed in the PMMA domains than the PS domains at low volume fractions of each component (Figure 13) can also explain the small differences in the normalized size distributions of the PS and PMMA networks. Copolymer systems with fpmma = 0.45 and 0.48 have more endpoints, and thus form more short segments, in the minority PMMA domain than observed in the minority PS domain for systems with fpmma = 0.52 and This variation in endpoint density at high asymmetries in copolymer blend composition may be attributed to differences in the homopolymer concentration in the copolymer systems. Higher weight fractions of homopolymer in the majority component (15 wt% PMMA 63

74 versus 5 wt% PS at fpmma = 0.55 and 0.45, respectively) allow the minority component to form increasingly connected networks, leading to the decrease in endpoint density and the breadth of the size distribution for PS at fpmma = The curvature of the PS/PMMA interface between lamellae has been characterized as a function of the asymmetry of the copolymer blend composition. Figure 16a shows an example of the distribution of interfacial curvatures for the lamellae formed by the pure block copolymer (fpmma = 0.476) and Figure 16b shows the standard deviation of the interfacial curvature distributions as a function of copolymer composition. Each distribution of interfacial curvature was fit to a Gaussian curve from which the amplitude, mean, and standard deviation were determined. The amplitude and mean of the interfacial curvatures of the lamellae were Figure 16: Lamellar Curvature Distribution a) Example of curvature distribution for fpmma = b) Standard deviation of interfacial curvature in PSbPMMA thin films of varying PMMA volume fraction. Larger standard deviations correspond to an increased width in the curvature distribution, indicating an increased presence of highly curved interfaces in asymmetric blends. dominated by the high fraction of linear interfaces with low curvature (radii of curvature 1000 nm) and were statistically independent of copolymer composition. On the other hand, the standard deviation of interfacial curvature increases with greater 64

75 compositional asymmetry in the copolymer as shown in Figure 16b. The increasing width of the distribution indicates a shift in curvature away from the mean towards more highly curved lamellae and interfaces between domains. The greater curvature in asymmetric systems can be attributed in part to increased densities of branches and endpoints that have highly curved interfaces (radii of curvature on the order of the lamellar spacing ~25 nm or curvatures of ~0.04 nm -1 ). The uniform broadening of the curvature distributions with greater compositional asymmetry is also observed for curvatures less than ~0.04 nm -1 which indicates that variations in the branch and endpoint density cannot fully explain this observation and that likely there are small changes in the lamellar tortuosity that arise with copolymer composition. In conclusion, the lamellar morphology of block copolymers self-assembled in thin films is not structurally equivalent for all systems and has been found to depend upon small variations in the compositional symmetry of the copolymer. The connectivity provided by branching and long-range continuity of the lamellar domain of the majority component increases with greater asymmetry to provide a single, continuous network that spans the entire substrate at volume fractions f The interwoven domains of the corresponding minority component form more endpoints and short, isolated segments with greater compositional asymmetry in the copolymer system. Blending homopolymer into the block copolymer system provides a simple route to fine tune the network continuity and lamellar structure in a block copolymer thin film. The results presented here also provide insight into the directed self-assembly of block copolymer structures with long-range order and that are defect-free over wafer-scale areas. In the case of directed assembly of dense arrays of 1:1 line:space features in thin films using chemically patterned substrates, the formation of bridging and endpoints in the lamellar block copolymer domains may be suppressed such that the self-assembled structures perfectly match the underlying 65

76 surface pattern geometry. The total energy required to eliminate all of the bridges and endpoints that occur during directed assembly, often observed in the form of dislocation and disclination defects, is dependent upon the natural concentration of such features in the block copolymer system and should therefore be greater with increasing compositional asymmetry. Block copolymer systems with high compositional asymmetry may be so mismatched from the directing surface pattern that the pattern cannot provide a sufficient energetic driving force for defect-free assembly, thereby requiring the formation of dislocation or disclination defects, interfacial roughness, and angled sidewalls between the domains. In general, the optimal conditions for defect-free directed assembly by chemically patterned surfaces occur when the volumetric composition of the block copolymer system matches the areal composition of the surface pattern, regardless of the extent of asymmetry. The directed assembly of distinct geometries in close proximity therefore presents an unaddressed challenge in the field, and requires either control over the local composition of the block copolymer system or the selection of a single block copolymer system that can energetically accommodate the entire range of geometries. Finally, directed assembly of lamellar-forming block copolymers by graphoepitaxy 55, 56 and density multiplication techniques require that the self-assembly process interpolates the position and geometry of the nanostructures between sparse topographic sidewall and chemical surface features, respectively. Defect-free, long-range assembly of lamellae between sparse chemically patterned stripes has been achieved over areas with widths three times the lamellar period, while block copolymer lamellae assembled in wider areas between the directing features lack long-range order (i.e., revert to the fingerprint morphology). 61 We believe that, based on the results presented here, the application of lamellar-forming copolymer systems optimized to have 66

77 compositional symmetry and thus reduced branch point and endpoint densities may enable defectfree directed assembly over wider areas by graphoepitaxy and density multiplication techniques. References 1. Hawker, C. J.; Russell, T. P. Mrs Bulletin 2005, 30, (12), Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Applied Physics Letters 2002, 81, (19), Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Advanced Materials 2001, 13, (15), Kim, B. H.; Shin, D. O.; Jeong, S. J.; Koo, C. M.; Jeon, S. C.; Hwang, W. J.; Lee, S.; Lee, M. G.; Kim, S. O. Advanced Materials 2008, 20, (12), Menke, E. J.; Thompson, M. A.; Xiang, C.; Yang, L. C.; Penner, R. M. Nature Materials 2006, 5, (11), Ross, C. A.; Cheng, J. Y. Mrs Bulletin 2008, 33, (9), Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H. C.; Zhang, Y. Ibm Journal of Research and Development 2007, 51, (5), Whitesides, G. M.; Ostuni, E.; Takayama, S.; Jiang, X. Y.; Ingber, D. E. Annual Review of Biomedical Engineering 2001, 3, Tang, C. B.; Hur, S. M.; Stahl, B. C.; Sivanandan, K.; Dimitriou, M.; Pressly, E.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Macromolecules 2010, 43, (6), Fasolka, M. J.; Mayes, A. M. Annual Review of Materials Research 2001, 31, Park, C.; Yoon, J.; Thomas, E. L. Polymer 2003, 44, (22), Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Advanced Materials 2009, 21, (47), Hamley, I. W. Progress in Polymer Science 2009, 34, (11), Kim, H. C.; Park, S. M.; Hinsberg, W. D. Chemical Reviews 2010, 110, (1), Stoykovich, M. P.; Nealey, P. F. Materials Today 2006, 9, (9), Ohta, T.; Kawasaki, K. Macromolecules 1986, 19, (10), Bates, F. S.; Fredrickson, G. H. Annual Review of Physical Chemistry 1990, 41, Almdal, K.; Koppi, K. A.; Bates, F. S.; Mortensen, K. Macromolecules 1992, 25, (6), Matsen, M. W. Macromolecules 1995, 28, (17), Fredrickson, G. H.; Bates, F. S. Annual Review of Materials Science 1996, 26, Matsen, M. W.; Bates, F. S. Macromolecules 1996, 29, (4), Hajduk, D. A.; Harper, P. E.; Gruner, S. M.; Honeker, C. C.; Kim, G.; Thomas, E. L.; Fetters, L. J. Macromolecules 1994, 27, (15), Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308, (5727), Daoulas, K. C.; Muller, M.; Stoykovich, M. P.; Papakonstantopoulos, Y. J.; De Pablo, J. J.; Nealey, P. F.; Park, S. M.; Solak, H. H. Journal of Polymer Science Part B-Polymer Physics 2006, 44, (18),

78 25. Craig, G. S. W.; Nealey, P. F. Journal of Photopolymer Science and Technology 2007, 20, (4), Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C. C.; de Pablo, J. J.; Mueller, M.; Nealey, P. F. ACS Nano 2007, 1, (3), Jeong, S. J.; Xia, G. D.; Kim, B. H.; Shin, D. O.; Kwon, S. H.; Kang, S. W.; Kim, S. O. Advanced Materials 2008, 20, (10), Bockstaller, M. R.; Thomas, E. L. Journal of Physical Chemistry B 2003, 107, (37), Parnell, A. J.; Pryke, A.; Mykhaylyk, O. O.; Howse, J. R.; Adawi, A. M.; Terrill, N. J.; Fairclough, J. P. A. Soft Matter 2011, 7, (8), Hong, A. J.; Liu, C. C.; Wang, Y.; Kim, J.; Xiu, F. X.; Ji, S. X.; Zou, J.; Nealey, P. F.; Wang, K. L. Nano Letters 2010, 10, (1), Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323, (5917), Xiao, S. G.; Yang, X. M.; Park, S. J.; Weller, D.; Russell, T. P. Advanced Materials 2009, 21, (24), Fink, Y.; Urbas, A. M.; Bawendi, M. G.; Joannopoulos, J. D.; Thomas, E. L. Journal of Lightwave Technology 1999, 17, (11), Urbas, A.; Sharp, R.; Fink, Y.; Thomas, E. L.; Xenidou, M.; Fetters, L. J. Advanced Materials 2000, 12, (11), Urbas, A. M.; Maldovan, M.; DeRege, P.; Thomas, E. L. Advanced Materials 2002, 14, (24), Phillip, W. A.; Rzayev, J.; Hillmyer, M. A.; Cussler, E. L. Journal of Membrane Science 2006, 286, (1-2), Yang, S. Y.; Ryu, I.; Kim, H. Y.; Kim, J. K.; Jang, S. K.; Russell, T. P. Advanced Materials 2006, 18, (6), Yang, S. Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S. K.; Kim, J. K. Advanced Functional Materials 2008, 18, (9), Jackson, E. A.; Hillmyer, M. A. ACS Nano 2010, 4, (7), Mansky, P.; Russell, T. P.; Hawker, C. J.; Pitsikalis, M.; Mays, J. Macromolecules 1997, 30, (22), Huang, E.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 1998, 31, (22), Morkved, T. L.; Lu, M.; Urbas, A. M.; Ehrichs, E. E.; Jaeger, H. M.; Mansky, P.; Russell, T. P. Science 1996, 273, (5277), Schaffer, E.; Thurn-Albrecht, T.; Russell, T. P.; Steiner, U. Nature 2000, 403, (6772), Delacruz, M. O.; Mayes, A. M.; Swift, B. W. Macromolecules 1992, 25, (2), Disko, M. M.; Liang, K. S.; Behal, S. K.; Roe, R. J.; Jeon, K. J. Macromolecules 1993, 26, (11), Hajduk, D. A.; Takenouchi, H.; Hillmyer, M. A.; Bates, F. S.; Vigild, M. E.; Almdal, K. Macromolecules 1997, 30, (13), Khandpur, A. K.; Forster, S.; Bates, F. S.; Hamley, I. W.; Ryan, A. J.; Bras, W.; Almdal, K.; Mortensen, K. Macromolecules 1995, 28, (26),

79 48. Thomas, E. L.; Alward, D. B.; Kinning, D. J.; Martin, D. C.; Handlin, D. L.; Fetters, L. J. Macromolecules 1986, 19, (8), Tada, Y.; Akasaka, S.; Yoshida, H.; Hasegawa, H.; Dobisz, E.; Kercher, D.; Takenaka, M. Macromolecules 2008, 41, (23), Detcheverry, F. A.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43, (15), Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, (6947), Han, E.; Kang, H. M.; Liu, C. C.; Nealey, P. F.; Gopalan, P. Advanced Materials 2010, 22, (38), Park, S. M.; Rettner, C. T.; Pitera, J. W.; Kim, H. C. Macromolecules 2009, 42, (15), Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. Macromolecules 2006, 39, (16), Jeong, S. J.; Kim, J. E.; Moon, H. S.; Kim, B. H.; Kim, S. M.; Kim, J. B.; Kim, S. O. Nano Letters 2009, 9, (6), Park, S. M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. E. Advanced Materials 2007, 19, (4), Detcheverry, F. A.; Liu, G. L.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43, (7), Ruiz, R.; Kang, H. M.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Science 2008, 321, (5891), Tada, Y.; Akasaka, S.; Takenaka, M.; Yoshida, H.; Ruiz, R.; Dobisz, E.; Hasegawa, H. Polymer 2009, 50, (17), Kang, H. M.; Detcheverry, F.; Stuen, K. O.; Craig, G. S. W.; de Pablo, J. J.; Gopalan, P.; Nealey, P. F. Journal of Vacuum Science & Technology B 2010, 28, (6), C6B24-C6B Liu, G. L.; Thomas, C. S.; Craig, G. S. W.; Nealey, P. F. Advanced Functional Materials 2010, 20, (8), Tang, Q. Y.; Ma, Y. Q. Soft Matter 2010, 6, (18), Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H. C.; Hinsberg, W. D. Advanced Materials 2008, 20, (16),

80 Chapter 3 - Processing approaches for the defect engineering of lamellar-forming block copolymers in thin films *This chapter was submitted for publication in August Abstract: The in-plane connectivity and continuity of lamellar-forming polystyrene-block-poly(methyl methacrylate) copolymer domains in thin films depends on the density and relative population of defects in the self-assembled morphology. Here we varied film thickness, degree of polymerization, thermal annealing time, and annealing temperature in order to engineer the defect densities of the lamellar morphology. Assembly in thicker films lowered the activation energy for defect annihilation and increased the driving force towards lower defect densities, and thus reduced connectivity of the lamellar domains. Systems with smaller degrees of polymerization were also found to have lower activation energies for defect annihilation and reduced domain connectivity. Most importantly, the relative populations of each type of defect were unaffected by the defect density and these morphologies had similar long-range continuities. Controlling processing conditions such as thermal annealing time and temperature, in comparison, was ineffective at tuning the defect density of block copolymer lamellae because quasi-equilibrium morphologies were rapidly achieved and subsequently remained quasi-static. These results provide a framework for selecting the composition, degree of polymerization, and processing parameters for lamellarforming block copolymers in thin films for applications that require either low-defect densities (e.g., in the directed assembly of microelectronic architectures) or those that benefit from highdefect densities (e.g., in network structures for transport). 70

81 Introduction The bicontinuous nature of block copolymer nanostructures in the bulk has been exploited in devices that require mass or charge transport, such as organic photovoltaics, 1-4 ion transport membranes, 5, 6 and separation membranes Mass or charge carrier flux in these devices relies on percolating transport and is dependent on the number of available pathways. The number of connections between adjacent domains of the same type may be referred to as the connectivity of a domain and indicates the number of possible pathways for transport (it also informs pathway redundancy), while the continuity of a system generally indicates whether or not there is an available pathway for transport over arbitrarily large distances. Surprisingly, symmetric diblock copolymers that self-assemble into parallel, well-aligned lamellae are often bicontinuous in the bulk. 14 In this case, it is defects at lamellar grain boundaries that provide the necessary domain connectivity to allow for system-wide continuity. Two-dimensional (2D), in-plane transport in thin films systems has many intrinsic similarities to three-dimensional (3D), bulk transport. Increasing the connectivity of continuous 2D nanostructures by adjoining neighboring domains modifies the in-plane transport characteristics by creating additional pathways. However there is a key difference between 2D and 3D transport, in that bicontinuous structures do not exist in 2D because the domain that forms a substrate-spanning, continuous network limits the continuity of the other domain. Therefore the type and density of defects formed during self-assembly of block copolymers in thin films play important roles in the block copolymer morphology and the potential application of such materials. The topological features (e.g., branches and end points) of block copolymer networks in 2D are the result of disclination and dislocation defects generated during selfassembly. These defects can form network nodes, or branches, that increase the connectivity of a 71

82 single block copolymer domain while simultaneously subdividing the opposing domain. A study by Kim and coworkers tracked the types of defects in thin films of lamellar-forming PS-b-PMMA with fpmma 0.49, and found that 70% of the defects were poly(methyl methacrylate) (PMMA) core dislocations. 15 This type of defect forms a branch in the polystyrene (PS) domain, contributing to increased PS network connectivity by bridging adjacent PS lamellae and subdividing a PMMA lamella into two distinct segments. We have shown previously that the relative branch and end point densities for lamellar-forming block copolymers in thin films are dependent strictly upon the copolymer s composition, and that the block copolymer used by Kim and coworkers should have continuous and interconnected PS domains based on the copolymer composition relative to the point of zero spontaneous curvature (fpmma 0.51). 16 This expectation indeed matches the predominance of PMMA core dislocations that were observed. 15 At the other end of the spectrum to transport-based applications that benefit from the presence of defectivity to provide nanostructures with long-range continuity and redundancy, the directed assembly of block copolymers in thin films has been developed to eliminate defect structures and achieve perfect assemblies with long-range order. Directed assembly of block copolymers is a candidate for sub-10 nm lithography, in part, because defect densities below 1/m 2 have been predicted to be energetically favorable. 17 Although the experimental defect densities reported for the directed assembly of lamellar-forming block copolymers on chemically patterned substrates are already exceedingly low, the thermodynamics of the copolymer system and the processing conditions may introduce defects and limit the ability to achieve perfect pattern fidelity. In particular, it might be anticipated that the use of directed assembly techniques to stabilize the formation of device-relevant but non-standard morphologies (e.g., T-junctions, jogs, isolated features, amongst others) that have higher free energies will present the challenge of 72

83 simultaneously making defect structures relatively more energetically favorable and likely to form. In addition, the kinetics of defect annihilation in lamellar-forming block copolymers are slow. 15 This has so far limited most studies on reducing or controlling the defect densities to cylinderforming block copolymer systems, which are not as suitable as lamellar-forming block copolymers for lithography and pattern transfer templates The excessively slow kinetics of defect annihilation in lamellar-forming block copolymer systems suggest that thermodynamic solutions will be most successful at controlling the types and overall density of defects. In this article, we characterize the morphology, including branch and end point densities, of lamellar-forming PS-b-PMMA over a range of common processing parameters in thin films. The in-plane connectivity and long-range continuity of the lamellar morphology is dictated by the types and relative concentration of lamellar defect structures that arise from the self-assembly and thermal annealing processes. Significant control over the branch and end point densities in lamellar morphologies, either favoring more or less interconnected structures, can be achieved by varying the film thickness and copolymer degree of polymerization, whereas the thermal annealing conditions (e.g., time and temperature) have negligible effects on the morphology. Increasing film thickness or decreasing degree of polymerization was found to dramatically reduce the defect density by enhancing the driving force or reducing the activation barrier for defect annihilation, respectively. These conclusions are shown to be universal for lamellar-forming PS-b-PMMA, regardless of whether the system is PS- or PMMA-dominant compared to the point of zero spontaneous curvature and PS or PMMA core defects are formed preferentially. These thermodynamic dependencies facilitate block copolymer self-assembly without relying on kinetically trapped morphologies and also suggest relevant approaches for eliminating defects. Understanding the relationship between the block copolymer materials, the copolymer and thin 73

84 film processing conditions, and the resulting defectivity during self-assembly provides insight into practical methods for engineering defect densities and morphologies, for example, as needed in the directed self-assembly of block copolymers using chemically heterogeneous or topographic guiding surfaces. Materials and Methods Substrate Preparation: Block copolymer domains in thin films orient perpendicular or parallel to a substrate depending on the substrate s wetting behavior. Perpendicular orientations of the lamellar domains were achieved using a substrate with neutral wetting behavior consisting of a random copolymer mat with 59 mol% polystyrene (PS), 40 mol% poly(methyl methacrylate) (PMMA), and 1 mol% glycidyl methacrylate (GMA) The random copolymer was synthesized using free radical polymerization 28, 29 and a solution of 0.3 wt% polymer in anhydrous toluene was prepared. Silicon wafers (purchased from Montco Silicon) were cleaned with piranha solution, rinsed with de-ionized water, and dried under vacuum at 100 C for 12 hrs. The wafers were then rinsed in anhydrous toluene (EMD Chemicals, 99.8 wt %), blown dry with a nitrogen stream, and spincoated with the random copolymer solution. Annealing at 190 C for 4 hrs crosslinked the random copolymer into a dense mat and subsequent sonication in toluene removed any unreacted material. Block Copolymer Processing: Block copolymer thin films were spin-coated onto the neutral substrate and thermally annealed to self-assemble into the lamellar morphology. PS-continuous block copolymer [polystyrene-block-poly(methyl methacrylate) or PS-b-PMMA, 53k:54k, PDI=1.16], PMMA-continuous block copolymer [PS-b-PMMA, 47k:58k, PDI=1.09], and a low degree of polymerization PS-continuous block copolymer [PS-b-PMMA, 25k:26k, PDI=1.06] were used as purchased from Polymer Source, Inc. Solutions of block copolymer were prepared 74

85 by weighing out dry polymer and adding anhydrous toluene to achieve the desired weight fraction. These solutions were spin-coated onto previously prepared neutral substrates and thermally annealed under ~2 Torr vacuum for the time and temperatures specified. The lamellar periodicity (Lo) of the samples was measured by FFT and found to be ~52 nm, ~50 nm, and ~34.5 nm for PSb-PMMA (53k:54k), PS-b-PMMA (47k:58k), and PS-b-PMMA (25k:26k), respectively. The FFT spectrum for each block copolymer is available in the Appendix. Nanostructure Imaging: Thin film block copolymer structures were imaged using a JEOL JSM- 7401F scanning electron microscope (SEM) operating at a 2 kv accelerating voltage and 1 kv sample bias. The emission current was kept constant at 10 ma and images were captured at 25,000 magnification using an in-lens detector. The nanostructures observed at the top surface of the film were assumed to propagate through the film to the substrate based on cross-sectional SEM images of similar systems with thicknesses approximately equal to Lo. 30 Characterization of the Lamellar Block Copolymer Morphology: The scanning electron micrographs had their contrast enhanced and were smoothed using the ImageJ software. 31 The lamellar morphology was characterized using an in-house program developed for Matlab (v. R2012a, The Mathworks, Natick, MA). In the images, the white/light gray and black/dark gray regions correspond to PS and PMMA, respectively. Filtering was able to approximately equalize the width of each domain before converting the image to black and white. Continuous networks formed by black or white pixels were identified and assigned a numerical label. Branch points and end points in each network were found after the network was skeletonized to a single-pixel width. Persistence lengths were calculated by first fitting a line to each pixel along with its 6 nearest neighbors, followed by calculating the tangent vector for each pixel. The cosine of the difference in angle between each pair of pixels in a network segment was calculated alongside the contour 75

86 length between pixels. The average correlation for each pathlength was calculated over 10 images (~170 µm 2 ), as shown in the inset of Figure 21. These lines were fit to a generic definition for persistence length, <cos(θ)> = 0.5*(exp(-L/P)+1), where θ is the difference in angle between interfacial tangent vectors, L is the pathlength between pixels, and P is the persistence length. The scaling factors normalized the persistence length such that the long-range correlation was equal to 0.5, which is physically realistic based on the calculation method for cos(θ) used here. The brackets denote that the cosines are averaged over all possible starting locations. A linearized least squares fit was used to determine the persistence length parameter. Results The connectivity and continuity of two-dimensional block copolymer domains are important considerations when using these materials as lithographic templates. Figure 17 shows a representative continuity map for polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) with a PMMA-volume fraction of fpmma This volume fraction favors PS connectivity and continuity due to the higher fraction of PS compared to the point of zero spontaneous curvature at fpmma , 32 The higher volume fraction of PS favors the formation of dislocation and disclination defects with PMMA cores, leading to increased connectivity and continuity of the PS domain through the creation of branches in the PS lamellae. In Figure 17, a representative SEM image is divided into the PMMA (dark gray) and PS (light gray) domains, and each continuous network for the domain is colorized. Some colors are repeated in the images due to a limited color palette. Domains with high connectivity and continuity are characterized by networks that span large areas of the image and incorporate large fractions of the domain into the largest network, whereas domains with low connectivity are qualitatively characterized by a large number of distinct segments in the imaged area. Transparent projections of the PMMA and PS networks are 76

87 overlaid on the original SEM on the left and right, respectively. The PMMA domain is divided into many short network segments and has no structures that span the imaged area (3.56 μm x 4.8 μm). The PS domain, overlaid on the right side of Figure 17, is dominated by a large red network spanning most of the imaged area, with some smaller networks inlaid and bordering the image, although it is possible that the structures on the image border are connected to the largest network outside the imaged area. This slight asymmetry in copolymer composition was sufficient to produce a continuous PS domain and subdivide the PMMA domain into many short, distinct segments. Past work has shown that varying the relative volume fraction of each domain can produce continuous changes in connectivity/continuity, alter the fundamental lamellar structure of PS-b-PMMA, and incorporate increasingly large fractions of a domain into a substrate-spanning network. 16 That work implied that the number of defects contributing to connectivity in the continuous domain increases relative to the total defect population as the composition becomes increasingly asymmetric. Common defects that occur during random self-assembly of lamellar-forming block copolymers are shown in Figure 18. The block copolymer in Figure 18 has fpmma = 0.48 and defects contributing to the PS continuity are highlighted. These defects have PMMA cores because packing frustration in the majority domain leads to the increased formation of defects with Figure 17: Sample Continuity Map Network analysis of PS-b-PMMA (53k:54k) block copolymer. The raw SEM image was separated into PMMA (dark gray) and PS (light gray) domains, and the continuous networks were found. Each color represents a continuous network for either the PMMA (overlaid on the left) or PS (overlaid on the right) domain. Some colors are repeated due to a limited color palette. 77

88 minority domain cores. Dislocation defects are one of the most common and topologically pertinent defects that occur during self-assembly. These defects are highlighted with both red and green dashed circles. The red circles correspond to pairs of oppositely signed dislocation defects that have migrated to each other to minimize the local distortion of the director field and thereby decrease the total distortion energy. In each red circle, the red squares correspond to the dislocation s split into two lamellae through the creation of a branch point. This is also true of the same signed dislocation defects that have grouped together in areas highlighted by the green dashed circles. In this case, the dislocations have migrated together to form a domain wall boundary, with each dislocation possessing a PMMA core. This again contributes to overall connectivity and continuity of the PS domain by creating multiple PS branch points. Figure 18: Lamellar Defect Types Defect structures formed during selfassembly of lamellar-forming PS-b- PMMA (53k:54k) in thin films. The red dashed circles with square markers correspond to pairs of oppositely signed dislocation defects. The green dashed circles with triangle markers denote same signed dislocation defects that have come together to create a domain wall boundary. The blue circles outline disclination defect structures. The defects shown all have PMMA cores because the copolymer composition favors PS continuity and forms a relatively large population of defects with PMMA cores. The green triangles correspond to the center of each dislocation defect structure and the triangles overlay a branch in the PS network. By grouping same signed dislocations together, the overall disruption of the director vector is again reduced and the distortion energy is reduced. Disclination defect structures are enclosed in blue dashed circles, and the key portions of the disclinations are traced by a dashed line. Each disclination defect is paired with a dislocation defect, shown with the blue triangles, and together they lower 78

89 the total distortion energy compared to isolated features. It is important to note that these highlighted defect structures possess PMMA cores and that the overall continuity of the lamellae favors PS continuity and connectivity through the creation of PS branches. There are also defect structures in Figure 18 that contribute to PMMA continuity and connectivity, but the population of these structures is relatively small compared to defects that favor PS continuity. The relative defect populations and absolute defect density determine the overall connectivity and continuity of the self-assembled block copolymer network structure. Here we have varied (i) film thickness, (ii) degree of polymerization, (iii) thermal annealing time, and (iv) annealing temperature to examine the effects of these block copolymer processing parameters on the defect density and network morphology of lamellar-forming PS-b-PMMA in thin films. Kinetic control was not effective for tuning the defect density of lamellar-forming block copolymers, but by controlling the thermodynamics of defect annihilation through increasing the driving force or decreasing the activation barrier for defect annihilation, it was possible to alter the network connectivity of the lamellar structures independently of their long-range continuity. Film Thickness Significant control over domain connectivity was achieved by varying the film thickness. Representative lamellar morphologies for PS-b-PMMA thin films annealed at 190 C for 10 d with thicknesses of 0.86Lo, 1.54Lo, and 1.89Lo are shown in Figures 19a, 19b, and 19c, respectively. In Figure 19a, it can be seen that the PS domain is highly continuous due to a high number of branch points. The red PS domain has very few interior networks and the PMMA domain is broken into a very large number of distinct segments. From the center region of Figure 19a, it can be seen that the lamellae are highly tortuous, forming a large number of high energy disclination defects with PMMA cores. 15, 23 The high total density of defects with PMMA cores generates highly 79

90 interconnected and continuous PS networks. Correspondingly there are high areal densities of network branches for the majority PS domain and network end points for the PMMA domain, shown in Figure 19d. The PS branch density at 0.86Lo is double that for a film thickness of 1.18Lo. As the film thickness is increased further, the areal densities of branch points in the PS domain and end points in the PMMA domain are reduced significantly. From the peak density of 77 /µm 2 at a thickness of 0.86Lo, the branch density reaches a low of 23 /µm 2 at a thickness of 1.89Lo. The drop in PS branch density is coupled with a concomitant decrease in the number of PMMA end points from 57 /µm 2 to 20 /µm 2 at thicknesses of 0.86Lo and 1.89Lo, respectively. From the SEM images shown in Figures 19a and 19c, the continuity of the PS domain is preserved when increasing the film thickness, with a large red network extending throughout the imaged area, even though the absolute density of defects is decreased. The PMMA domain in Figure 19a is broken into many distinct segments but the PMMA domain in Figure 19c shows a much larger yellow network that extends across a large portion of the imaged area. While the large PMMA network in Figure 19c does not completely span the imaged area, it Figure 19: Thickness-Dependent Lamellar Network Properties The thickness-dependent lamellar network morphologies of PS-b-PMMA in thin films as characterized by SEM analysis. Thicker films result in lower defect densities, as seen in the network analysis of films with thicknesses of a) 0.86 Lo, b) 1.54 Lo, and c) 1.89 Lo. d) The branch and end point densities for PS-b-PMMA (53k-54k). The domain density values are shown with for PS and with for PMMA. PS-b-PMMA (47k:58k) exhibits analogous trends in films with matching thicknesses of e) 0.86 Lo, f) 1.39 Lo, and g) 1.93 Lo. h) The branch and end point densities for PS-b-PMMA (47k:58k) normalized to compare the same area relative to Lo for PSb-PMMA (53k-54k). All scale bars correspond to 1 μm and all error bars correspond to two standard deviations. 80

91 highlights the morphological change that occurs as defects are annihilated and the overall defect 81

92 domain decreases density decreases; longer, straighter lamellae are able to form although redundancy in the PS domain decreases. The relative defect populations preserve PS continuity, even as the overall defect density decreases. The overall reduction in defect density allows the PMMA lamellae to form long, uninterrupted networks, such that the PMMA domain has longrange continuity compared to as in very thin films, but it is still discontinuous over the imaged areas. The results shown in Figure 19d indicate that film thickness is a critically important parameter for engineering the defect density of self-assembled block copolymers and providing control over the lamellar morphologies in thin films. Thin films (t < Lo) are found to be unable to annihilate high energy defects that are much less common in thicker films (t > Lo). In a 2D lamellar system, the energy of each line defect will scale linearly with film thickness to increase the driving force for defect annihilation (i.e., the difference in energy between the initial and final states). Additionally, defect annihilation in thick films may occur more readily than in thin films due to greater degrees of freedom for the transition state morphologies during the annihilation process. Defects typically go through a high-energy intermediate state before reducing the overall energy of the film through defect annihilation. The energy of that intermediate state during annihilation, relative to the starting state, is the activation energy associated with defect annihilation. In thin films where t < Lo, there are a limited number of intermediate conformations that can be assumed during defect annihilation due to the spatial confinement between the free surface and the substrate. Thus the interface between lamellae must adopt high curvatures as defects are annihilated under confinement, increasing the activation energy due to unfavorable interfacial bending. Thicker films facilitate three-dimensional interfacial structures and lower the activation barrier associated with defect annihilation. Furthermore it is known that the annihilation of disclination defects occurs through the favored pathway of forming dislocation loops and climbing, whereas in thin films the 82

93 dislocation defects must glide to migrate through the film until they can directly interact with another defect. 22, 33 However, many defects in thin films are pinned in space by the surrounding defects and the overall lamellar mobility is limited. These interpretations are consistent with past work by Kramer and coworkers 24 on defect annihilation during the directed assembly of parallelaligned cylinders, in which it was found experimentally that bilayer films of aligned cylinders displayed dramatically reduced defect densities as compared to monolayer films. It was confirmed through self-consistent field theory calculations that the reduced defect density can be attributed to decreased confinement of the cylindrical unit cell in a bilayer conformation. 24 To demonstrate that defect engineering through film thickness control remains applicable for lamellar systems on the other side of the point of zero spontaneous curvature (fpmma 0.51), a block copolymer with a PMMA volume fraction of fpmma 0.53 was investigated. This polymer preferentially formed PS core defects, which created continuous PMMA domains. Figures 19e, 19f, and 19g show the network morphologies of thin films of PS-b-PMMA (47k:58k) at thicknesses of 0.86Lo, 1.39Lo, and 1.93Lo annealed at 190 C for 10 d. The Lo of PS-b-PMMA (47k:58k) was found to be 50 nm (see Appendix), which similar to the Lo of 52 nm found for PSb-PMMA (53k:54k). The similarities in degree of polymerization and identical block chemistries allows for direct comparisons without concern about the effects from slight differences in χn. In order to accurately compare the lamellar morphology of the two polymers, the branch and end point densities were normalized by the ratio of (Lo,47k:58k/Lo,53k:54k) 2 to ensure that the same areas relative to Lo were examined. In Figures 19e, 19f, and 19g, the PMMA domain forms a highly connected blue network that spans the imaged area, and the PS domains are broken into a large number of distinct segments. These results are analogous to those presented earlier for the lamellar system with highly interconnected PS domains. The branch and end point densities for PS-b- 83

94 PMMA (47k:58k) are presented in Figure 19h and quantitatively follow nearly identical trends to those presented for PS-b-PMMA (53k:54k) in Figure 19d. The remarkable qualitative and quantitative agreement between the two lamellar-forming PS-b-PMMA systems suggests that the same defect annihilation processes occur in both systems, albeit compositionally inverted. These results confirm that the relative populations of PS core and PMMA core defects can be tuned by varying the composition, independently of the overall defect density. It is important to note that increasing asymmetry in the relative volume fraction of each block will further shift the relative defect populations to favor continuity of one domain and thereby increase connectivity slightly. Overall, these findings suggest a methodology for tuning the network morphologies of lamellar-forming PS-b-PMMA block copolymers in thin films; tuning the relative defect populations of PS and PMMA core defects shifts lamellar domain continuity and adjusting the defect density through the film thickness changes lamellar connectivity. The lamellar domains in Figure 19 also exhibit longer-range alignment between neighboring lamellae as the film thickness is increased. It is intuitive that lower defect densities in thin films would lead to morphologies more closely resembling lamellar structures in the bulk, the characteristics of which are highly-ordered domains and low interfacial curvatures. While asymmetric block copolymers have a high spontaneous interfacial curvature resulting from unequal contributions to the entropic component of the free energy, the interfacial curvature of lamellae in thin films is large locally due to the presence of disclination or dislocation defects. 34, 35 One quantitative measure of the alignment between neighboring lamellae is the persistence length, which represents the correlation length of individual lamellar segments. The persistence length of individual lamellae provides valuable information regarding the long-range order, with 84

95 longer persistence lengths corresponding to well-aligned lamellae that persist uninterrupted in a single direction. Figure 20 shows the persistence lengths for block copolymers of PS-b-PMMA (53k:54k) and PS-b-PMMA (47k:58k) as a function of film thickness. The inset of Figure 20 shows the correlation distributions for each film thickness of PS-b-PMMA (53k:54k). The persistence length for PS-b-PMMA (53k:54k) shown in Figure 20 steadily increases from 0.44Lo to 1.40Lo as thickness increases from 0.86Lo to 1.89Lo. Persistence lengths for PS-b-PMMA (47k:58k) follow a similar trend, increasing from 0.58Lo to 1.51Lo at thicknesses of 0.86Lo and 1.93Lo, respectively. These results quantitatively confirm that thinner films have more highly curved lamellar interfaces than in thicker films. Degree of Polymerization Defect annihilation in thin film lamellar-forming block copolymers is also a function of the interfacial tension between blocks that scales with the Flory-Huggins interaction parameter (χ) and the degree of polymerization (N). Polymer systems with lower values of χn do not have as large an energetic penalty when adopting high curvature interfaces 34 and facilitate lower defect densities in thin films through a reduction in the activation energy for defect annihilation. Additionally the polymer chain mobility and defect diffusion increase with Figure 20: Lamellar Persistence Length Lamellar persistence lengths for PS-b- PMMA (53k:54k) ( ) and PS-b-PMMA (47k:58k) ( ) as a function of film thickness. Thicker films with low defect density results in increased lamellar correlation and forms long, well-aligned lamellar segments. The correlation distribution for PS-b-PMMA (53k:54k) is shown as an inset, with shorter persistence lengths showing a rapid decay in correlation. Error bars correspond to two standard deviations based on regression analysis of the fits to the calculated values for correlation. 85

96 decreasing degree of polymerization. These kinetic effects may be important during the evolution of block copolymer structures, but the lamellar morphologies examined here are representative of quasi-static morphologies at long times. A representative SEM of PS-b-PMMA (25k:26k) at a thickness of 1.29Lo annealed for 10 days at 190 C is shown in Figure 21a. The periodicity of PS-b-PMMA (25k:26k) was found to be 34.5 nm (see Appendix) and χn PS-b-PMMA (25k:26k) has fpmma 0.49, allowing for nearly equal comparison with films of PS-b-PMMA (53k:54k) (fpmma = 0.48) that has χn Figure 21b shows the branch and end point densities for PS-b-PMMA (53k:54k) in films of varying thickness and a PS-b- Figure 21: Degree of Polymerization Dependent Lamellar Network Properties a) Network analysis of PS-b-PMMA (25k:26k) at a thickness of 1.28 Lo. The decreased value of χn (~18) compared to PS-b-PMMA (53k:54k) (~37) leads to much lower defect densities. b) Branch and end point densities for PS ( ) and PMMA ( ) domains of PSb-PMMA (25k:26k) are circled for comparison to PS ( ) and PMMA ( ) domains in PS-b-PMMA (53k:54k). The lower χn facilitates defect annihilation and leads to a decreased number of branches and end points. The reduced number of network features reduces the connectivity of the PS domain compared to PS-b-PMMA (53k:54k), even though these PS-b-PMMA systems share a similar level of volumetric asymmetry. Error bars correspond to two standard deviations. PMMA (25k:26k) film at a thickness of 1.29Lo. The branch and end point densities were normalized as before to allow comparison in terms of equal areas relative to Lo. The persistence length was found to be 1.49Lo for PSb-PMMA (25k:26k) at a thickness of 1.29Lo, a nearly two-fold increase 86

97 compared to 0.94Lo for PS-b-PMMA (53k:54k) at a thickness of 1.54Lo. The areal defect densities (i.e., branches and end points) are dramatically reduced as N is decreased, in part because of the lower interfacial bending rigidity and a reduced activation energy for defect annihilation. This finding is analogous to literature demonstrating that reduced χn facilitates the nucleation of defects in the directed self-assembly of block copolymers due to decreased defect energy, but in assemblies that lack long-range order the energies of the 24, 37 intermediate states are also lowered and serve to lower the barriers for defect annihilation. Along with the reduced defect density, a small shift in relative defect populations with PS and PMMA cores is observed due to a small difference in the compositional asymmetry. The high magnification required to obtain images of PS-b-PMMA (25k:26k), as well as the decreased connectivity due to the lower defect densities and the long lamellar persistence lengths, does not allow a single micrograph to capture the long-range continuity for this block copolymer. The decrease in relative defect density allows the lamellae to adopt a structure close to that of the bulk, with long, well-aligned lamellae continuing uninterrupted for long distances. Taken together, these results suggest that forming highly interconnected block copolymer domains at low values of χn requires a greater degree of volumetric asymmetry than at higher χn. While varying the degree of polymerization as done here also changes the periodicity and size of the templated structures, the same effects can be achieved by varying the interaction parameter. Using different copolymer chemistries allows independent control of the interaction parameter and the degree of polymerization, thus enabling tunability of the feature size, network connectivity, and domain continuity for block copolymer lamellae in thin films. Annealing Time 87

98 The kinetics of defect annihilation plays an important role in the resulting connectivity of the block copolymer lamellar networks. It is also important to ensure that all analyses of the thermodynamics associated with defect annihilation are far removed from kinetic effects, such that the network morphology is in a quasi-static state. It has been shown, for example, that cylinderforming block copolymers in thin films with domains oriented parallel to the substrate have grain 22, 23, 38 sizes that increase with annealing time and defects that annihilate at a predictable rate. Specifically the correlation length has been found to have a power law dependence on annealing time (ξ ~ t 0.25 ). 33,39 On the other hand, although defects are also able to annihilate in lamellarforming block copolymers, the rate is much slower and the defect populations are relatively static. 15,39 Figure 22 shows characteristic SEM images of 1.18Lo thick PS-b-PMMA (53k:54k) films annealed at 190 C for a) 2 hrs, b) 6 hrs, and c) 10 d along with the corresponding d) branch and end point densities. This film thickness was chosen because of its comparable size relative to the periodicity of the polymer, which was found to be 51.8 nm. It can be seen that the PS domain is highly connected at all times, with a single PS network spanning the imaged area and that the PMMA domain is divided into a large number of distinct segments. At short times (2 hrs, Figure 22a), there is single PMMA network that spans a significant fraction of the imaged area but that by 6 hrs (Figure 22b) the structure resembles that of a sample annealed for 10 d (Figure 22c). The large PMMA network at 2 hrs is correlated with a population of PS core dislocation defects that are annihilated rapidly, leading to decreased connectivity of the discontinuous PMMA 88

99 Figure 22: Annealing Time- Dependent Lamellar Network Properties Time-dependent network properties of PS-b-PMMA (53k:54k) upon thermal annealing at 190 C. The network evolution from a) 2 hrs to b) 6 hrs and finally to c) 10 d shows that qualitatively the network is in quasi-equilibrium after 6 hrs. d) The branch and end point densities as a function of time for PS-b- PMMA (53k:54K) for PS ( ) and PMMA ( ) domain indicate that the network morphology is relatively static after short annealing times. All scale bars correspond to 1 μm and the error bars correspond to two standard deviations. 89

100 domain over time. Beyond 6 hrs, the network morphology becomes quasi-static and the total defect density and relative defect populations remain constant. This is reflected in Figure 22d which quantifies the end point and branch point densities over time. These results suggest that the thin films rapidly assume their average network morphology and are unable to evolve further, leading to limited kinetic control over defect density and network morphology. The quasi-static morphologies observed at longer times also confirm that the defect structures characterized in prior sections on varying film thickness and degree of polymerization were thermodynamically controlled morphologies. Annealing Temperature It may be anticipated that defect densities in lamellar structures in thin films can be tuned based on the annealing temperature. Increased annealing temperatures may provide additional thermal energy to overcome the activation barrier for defect annihilation and also increase defect mobility. Approaching the order-disorder transition (ODT) has been shown, however, to increase the absolute defect density in parallel-aligned cylinders of polystyrene-b-poly(2-vinyl pyridine). 24 The lamellar morphologies of films annealed at 170 and 230 C for 10 d are shown in Figures 23a and 23b, respectively. Qualitatively, it is difficult to distinguish between films annealed at the different temperatures. Quantitatively, there is slight trend towards higher branch densities for the majority component at elevated temperatures, coupled with a decrease in end points for that component. The small quantitative difference may indicate that additional PMMA core dislocation defects form, slightly increasing the PS connectivity, but it is not a substantial difference. The minority PMMA domain has fewer branches and a greater number of end points as temperature increases, which correlates well with the formation of shorter PMMA segments. 16 The additional branch points in the PS domain may be attributed to the stabilization of low energy PMMA core 90

101 Figure 23: Annealing Temperature Dependent Lamellar Network Properties Annealing temperature dependent network properties of PS-b-PMMA (53k:54k). It can be seen that thermal annealing at a) 170 C and b) 230 C for 10 d has very little effect on the network morphology. c) The branch and end point densities as a function of annealing temperature for PS-b- PMMA (53k:54K) for PS ( ) and PMMA ( ) domains. All scale bars correspond to 1 μm and the error bars correspond to two standard deviations. dislocation defects at higher temperatures. Defects are able to relieve frustration that results from the asymmetry in volume fraction between domains, and the elevated temperature may contribute enough energy to stabilize a marginally larger population of these defects compared to at lower temperatures. Based on these observations, however, annealing temperature does not enable the engineering of defect densities and morphology in PS-b- PMMA lamellar block copolymers. Conclusions In conclusion, the thermodynamics of defect annihilation have been shown to determine the connectivity and continuity of lamellar block copolymer domains in thin films through variations in the defect density and defect populations. It has been shown that film thickness and the magnitude of χn play key roles in determining the density of defects while maintaining the same relative defect populations, whereas the thermal annealing time and temperature have 91

102 relatively small effects on the lamellar morphology. This allows the lamellar network connectivity (defect density) and continuity (relative defect population) to be tuned independently of one another. Increasing film thickness relative to Lo introduces new intermediate morphologies and increases the driving force for defect annihilation, and thus leads to reduced defect densities. The magnitude of χn determines the interfacial bending rigidity of the lamellar domains, and a smaller χn was shown to facilitate defect annihilation in lamellar-forming block copolymers in thin films. High defect densities produce continuous lamellar networks in the majority domain even at relatively low compositional asymmetry, while low defect densities do not subdivide the minority domain and increase the statistical likelihood of discontinuities in the majority block. Taken as a whole, these results provide a framework for manipulating block copolymer lamellar morphology by varying the thin film processing parameters and the block copolymer material. These discoveries will play an important role in the selection and processing of lamellarforming block copolymers for nanolithographic patterning applications. Researchers may independently consider the chemistry and χ of their block copolymer to vary domain continuity and persistence, the thickness relative to Lo to either inhibit or favor defect annihilation, and N to determine the size of the nanostructures. For example, the push towards block copolymer systems with high χ (e.g., with P2VP-b-PDMS 40 ) and smaller N parameters, while enabling the selfassembly of lamellar systems with periodicities less than 10 nm, may simultaneously influence defect annihilation and defect densities in thin films. In addition, although it has been shown that defect densities are diminishingly low when chemically patterned surfaces or surface features are used to direct block copolymer assembly 17, the results presented here may serve to further lower those defect densities by elucidating routes to facilitate defect annihilation when using asymmetric block polymers or chemical patterns with asymmetric surface patterns. 92

103 References 1. Slota, J. E.; He, X.; Huck, W. T. S. Nano Today 2010, 5, (3), Ren, G.; Wu, P.-T.; Jenekhe, S. A. ACS Nano 2011, 5, (1), Lin, Y.; Wei, Q.; Qian, G.; Yao, L.; Watkins, J. J. Macromolecules 2012, 45, (21), Darling, S. B. Energy & Environmental Science 2009, 2, (12), Singh, M.; Odusanya, O.; Wilmes, G. M.; Eitouni, H. B.; Gomez, E. D.; Patel, A. J.; Chen, V. L.; Park, M. J.; Fragouli, P.; Iatrou, H.; Hadjichristidis, N.; Cookson, D.; Balsara, N. P. Macromolecules 2007, 40, (13), Panday, A.; Mullin, S.; Gomez, E. D.; Wanakule, N.; Chen, V. L.; Hexemer, A.; Pople, J.; Balsara, N. P. Macromolecules 2009, 42, (13), Yang, S. Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S. K.; Kim, J. K. Advanced Functional Materials 2008, 18, (9), Jackson, E. A.; Hillmyer, M. A. ACS Nano 2010, 4, (7), Phillip, W. A.; O'Neill, B.; Rodwogin, M.; Hillmyer, M. A.; Cussler, E. L. ACS Applied Materials & Interfaces 2010, 2, (3), Jha, A. K.; Chen, L.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2011, 373, (1-2), Rose, F.; Bosworth, J. K.; Dobisz, E. A.; Ruiz, R. Nanotechnology 2011, 22, (3), Tang, C. B.; Wu, W.; Smilgies, D. M.; Matyjaszewski, K.; Kowalewski, T. Journal of the American Chemical Society 2011, 133, (30), Jha, A. K.; Tsang, S. L.; Ozcam, A. E.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2012, 401, Amundson, K.; Helfand, E.; Quan, X. N.; Hudson, S. D.; Smith, S. D. Macromolecules 1994, 27, (22). 15. Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. Macromolecules 2006, 39, (16), Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45, (3), Nagpal, U.; Muller, M.; Nealey, P. F.; de Pablo, J. J. ACS Macro Letters 2012, 1, (3), Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F. Science 2005, 308, (5727), Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C. C.; de Pablo, J. J.; Mueller, M.; Nealey, P. F. ACS Nano 2007, 1, (3), Liu, G. L.; Thomas, C. S.; Craig, G. S. W.; Nealey, P. F. Advanced Functional Materials 2010, 20, (8), Yang, J. K. W.; Jung, Y. S.; Chang, J. B.; Mickiewicz, R. A.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Nature Nanotechnology 2010, 5, (4), Hahm, J.; Lopes, W. A.; Jaeger, H. M.; Sibener, S. J. Journal of Chemical Physics 1998, 109, (23), Tsarkova, L.; Horvat, A.; Krausch, G.; Zvelindovsky, A. V.; Sevink, G. J. A.; Magerle, R. Langmuir 2006, 22, (19), Mishra, V.; Fredrickson, G. H.; Kramer, E. J. ACS Nano 2012, 6, (3),

104 25. Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. J. Science 1997, 275, (5305), Husseman, M.; Malmstrom, E. E.; McNamara, M.; Mate, M.; Mecerreyes, D.; Benoit, D. G.; Hedrick, J. L.; Mansky, P.; Huang, E.; Russell, T. P.; Hawker, C. J. Macromolecules 1999, 32, (5), In, I.; La, Y. H.; Park, S. M.; Nealey, P. F.; Gopalan, P. Langmuir 2006, 22, (18), Han, E.; Stuen, K. O.; La, Y. H.; Nealey, P. F.; Gopalan, P. Macromolecules 2008, 41, (23), Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41, (23), Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, (6947), Schneider, C. A.; Rasband, W. S.; Eliceiri, K. W. Nature Methods 2012, 9, (7), Matsen, M. W. Journal of Physics-Condensed Matter 2002, 14, (2), R21-R Harrison, C.; Adamson, D. H.; Cheng, Z. D.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Science 2000, 290, (5496), Wang, Z. G.; Safran, S. A. Journal of Chemical Physics 1991, 94, (1), Safran, S. A. Advances in Physics 1999, 48, (4), Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Macromolecules 1990, 23, (3), Takahashi, H.; Laachi, N.; Delaney, K. T.; Hur, S.-M.; Weinheimer, C. J.; Shykind, D.; Fredrickson, G. H. Macromolecules 2012, 45, (15), Ji, S. X.; Liu, C. C.; Liao, W.; Fenske, A. L.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2011, 44, (11), Ruiz, R.; Sandstrom, R. L.; Black, C. T. Advanced Materials 2007, 19, Jeong, J. W.; Park, W. I.; Kim, M. J.; Ross, C. A.; Jung, Y. S. Nano Letters 2011, 11, (10),

105 Chapter 4 - Topologically distinct lamellar block copolymer morphologies formed by solvent and thermal annealing *This chapter was submitted for publication in June Abstract Solvent annealing produces ordered assemblies in thin films of block copolymers and, in contrast to uniform thermal annealing, can be used to tune the self-assembled morphology, control the domain orientation with respect to the substrate, and as demonstrated here reduce the defect density. The two-dimensional network topology of lamellae self-assembled by polystyrene-blockpoly(methyl methacrylate) block copolymers in thin films was compared when processed by solvent and thermal annealing techniques. The mixed solvent annealing method described here reduced the overall defect density (e.g., dislocations with PMMA or PS cores) and thus the connectivity of the lamellar domains compared to thermal annealing, however, the long-range continuity of the networks was maintained and depended primarily on the copolymer composition. In addition, the persistence length of the lamellar domains for solvent annealed films was found to be 2-3 times that of the corresponding thermally annealed systems. 95

106 Block copolymer lithography is an emerging nanopatterning technology with capabilities that may complement and eventually replace those provided by existing optical lithography techniques. 1-7 Lithographically relevant morphologies formed by block copolymers in thin films include lamellae and hexagonally close packed cylinder arrays. The perpendicular orientations of these morphologies with respect to the substrate are vital for lithographic applications, and can be achieved through approaches including surface modifications (e.g., neutral wetting surfaces) 8, 9 and directional fields Block copolymer nanostructures with perpendicular orientations possess domains with continuous pathways from the top surface to the bottom surface of the film, and upon selective removal of a copolymer domain, can serve as templates for modifying the substrate through standard additive or subtractive microfabrication processes such as deposition or etching Lamellar-forming block copolymers in thin films with domain orientations perpendicular to the substrate adopt the characteristic fingerprint morphology, in which a highdensity of energetically unfavorable dislocation and disclination defects leads to linear structures that lack long-range order and have short persistence lengths. These structural defects are not effectively annihilated during self-assembly, and the presence of three-fold branch points can lead to the formation of highly interconnected and continuous two-dimensional network topologies. The continuity of lamellar networks in 2D is related to the types of defects that are present, with the relative population of defects that connect lamellae determining which domain is continuous. The connectivity of the network is determined by the defect density, with high defect densities resulting in an increased number of connections between adjacent lamellae. We have recently shown that the lamellar morphology and defect structures in thin films are sensitive to the block copolymer composition. The continuity of the block copolymer domains is determined by the copolymer s composition relative to the point of zero spontaneous curvature, with the minority 96

107 domain inhabiting most defect cores and the majority domain forming defects or branches that connect adjacent lamellae The areal density of defects is limited by the kinetics of defect annihilation, which are exceedingly slow relative to the kinetics of self-assembly for lamellar systems. Increased defect densities and lamellar interconnections provided by branching defects combine to raise the connectivity of the networks such that a lamellar-forming block copolymer can form a continuous network that spans arbitrarily large areas. 24 Although thermal annealing can produce well-ordered, low-defect films through the use of temperature gradients 25, 26 and topological features 27, solvent annealing has gained favor as a means to rapidly produce order in block copolymer thin films and, in contrast to thermal annealing 28, 29 without gradients, can also be used to control the morphology and orientation of the domains. During a typical solvent annealing process, block copolymer thin films are exposed to solvent vapor that permeates and swells the polymer domains to a specified extent, at which point the solvent is removed. 30, 31 Order is achieved through the increased mobility of the polymer chains by a plasticization effect (effectively reducing Tg) 32 and reduction of the interaction parameter (χ) through dilution of the polymer chains. 33 There is evidence that the rate at which the solvent is removed, the extent of swelling, the choice of solvents, and other process parameters each play important roles in the structures achieved by solvent annealing. 28, Different solvent annealing conditions to self-assemble the lamellar morphology in thin films can therefore be expected to generate networks with subtle differences in defect structure, defect density, and continuity, due in part to the processing conditions. For example, Ryu and coworkers 45 have shown that solvent annealing using tetrahydrofuran is an effective route to produce order and reduce the defect density in lamellae formed by high molecular weight ( kg mol -1 ) block copolymers, although the continuity of the lamellar domains was not characterized. 97

108 In this work, the 2D network topology of the lamellar morphology is characterized and compared for the two most important approaches for processing block copolymers in thin films: solvent annealing and thermal annealing. Lamellae formed by poly(styrene-block-methyl methacrylate) (PS-b-PMMA) copolymers were processed thermally at 190 C or in a saturated vapor of mixed near-theta solvents (i.e., a mixture of cyclohexane and acetone). The network structures formed by solvent annealing are found to have lower defect densities and longer persistence lengths, as compared to thermal annealing, but the relative defect populations remain sufficient to maintain long-range continuity of the lamellar domains. In addition, processing by solvent annealing is found to not influence the effect of the block copolymer composition on lamellar continuity over large areas, with the volumetric majority domain forming substratespanning continuous networks. Figures 24a and 24b compare the differences in PS-b-PMMA lamellae processed by thermal annealing and solvent annealing, respectively. The annealing process used to selfassemble lamellar-forming block copolymers has a significant effect on the resulting defect density of the networks. The thermally annealed morphology is more tortuous and has a higher defect density than the solvent annealed sample. During solvent annealing, the increased mobility of polymer chains and decreased interaction parameter (χ) due to dilution of the chains reduces the energetic barrier for defect annihilation via a melt mechanism. 33, 46 Defect annihilation of an isolated dislocation requires a glide mechanism during thermal annealing and will create additional interfacial area. A good comparison of the relative lowering of the barrier for defect annihilation 98

109 between thermal and solvent annealing can therefore be achieved by estimating the difference in interfacial energies between the defect and the transition state during defect annihilation. The effective interaction parameter can be calculated from the polymer volume fraction in the diluted system (φp), the polymer-polymer interaction parameter (χab), and polyme r-solvent interaction parameters (χa-solvent, χb-solvent) (χ = [χab + χa-solvent χb-solvent]φp for a slight to moderate addition of a third, solvent component]. 33 In the case here of symmetric swelling by solvents preferentially Figure 24: Thermal and Solvent Annealing Morphology Comparison Scanning electron micrographs comparison of lamellar morphologies that were a) thermally annealed and b) solvent annealed in PS-b-PMMA (53k:54k) thin films. The light and dark domains correspond to the PS and PMMA domains, respectively. The reduced defect density in the solvent annealed sample dramatically decreases the connectivity of the network while maintaining similar continuity. Connectivity in these images is primarily due to branches in the lamellae that occur through c) PMMA core dislocation and d) PS core dislocation defects. The red and blue highlights illustrate the increased connectivity in the PS and PMMA domains, respectively. The scale bars correspond to 400 nm. partitioned between domains and a mixture of near-theta solvents, χa-solvent1 χb-solvent2 0 and leads to a simple dilution equation for χ based on the polymer volume fraction (χ = χab φp). 33 The free energy of the polymer interface can be calculated as Fint/kbT = ρoaa (χ/6) 1/2, assuming no entropic contributions, where ρo is the inverse molecular specific volume, A is the interfacial area per block copolymer molecule, and a is the statistical segment length. 47 As the polymer domains swell during solvent annealing, the 99

110 interfacial area per molecule should increase in proportion to the decrease in inverse specific volume, leading to an interfacial energy solely dependent on χ. During solvent annealing, the final swollen state is ~3 times the initial film volume, leading to a dilution of χ χab/3 and an interfacial energy barrier for defect annihilation of approximately 57% that of the pure block copolymer in the thermal melt state. Beyond the reduced energy barrier, defect annihilation via a melt mechanism is also facilitated by increased diffusion of the polymer chains perpendicular to the polymer-polymer interface in the swollen state. Reduced χ through solvent molecule infiltration and increased polymer plasticization during solvent annealing leads to a dramatic increase in the diffusion coefficient perpendicular to lamellar interfaces during solvent annealing compared to thermal annealing. 48 With an increased diffusion coefficient, the barrier to diffusion across lamellar interfaces is effectively eliminated and leads to rapid defect annihilation at near-zero activation energy. 46 This defect annihilation mechanism is kinetically prohibited in the thermal melt for our system (χn 37) because of the high diffusion barrier and leads to stable defect populations at long annealing times. A noticeable number of defects also remain after solvent annealing even though the activation barrier is dramatically reduced, due likely to a low energy difference between the single-crystalline and defective morphologies which causes a Boltzmann distribution of defect states. The PMMA core dislocation defect is the most common defect observed in the lamellar morphologies in Figs. 24a and 24b, and is shown magnified in Fig. 24c. This defect type connects adjacent PS lamellae, helps to form continuous PS networks, and subdivides a PMMA lamella into two distinct segments. Previously, ~70% of the defects in a PS-b-PMMA lamellar system with a volume fraction of PMMA of fpmma = 0.49 were found to be PMMA core dislocations. 49 The block copolymer used to form the networks in Figs. 24a and 24b has fpmma = 0.48, and thus also forms 100

111 large populations of PMMA core dislocations. This observation is consistent with work that has shown that the domain continuity of PS-b-PMMA is dependent on the composition in relation to the point of zero spontaneous curvature. 24 Increasing compositional asymmetry further imbalances the relative population of PS core and PMMA core dislocation defects, and results in improved connectivity for the continuous domain. A highly asymmetric composition forming wellconnected PS domains has a larger fraction of PMMA core dislocation defects relative to the total defect population, as compared to a less asymmetric composition that still forms continuous PS networks. When the block copolymer composition is shifted to the other side of the point of zero spontaneous curvature, which for PS-b-PMMA lies at fpmma , the most common defect type is the PS core dislocation, shown in Fig. 24d, which favors PMMA domain continuity and connectivity. The PS core dislocation defect connects neighboring PMMA lamellae and produces a well-connected, continuous, PMMA network. Figure 25a compares the structures achieved by thermal and solvent annealing across a range of PS-b-PMMA block copolymer compositions. The connected networks for PMMA and PS are colorized and overlaid on the left and right sides, respectively, of the original SEM images. Each individually colored network represents a distinct structure that can be traced without crossing the other domain. Some colors are repeated due to a limited color palette and networks on the image border may be connected to the largest network outside the imaged area. With fpmma 0.48 (PS-b-PMMA, 53k:54k), both thermal and solvent annealing produce a large red PS network that spans the entire imaged area. However, the reduced defect density that results from solvent annealing led to decreased lamellar connectivity. With fewer defects, such as the PMMA 101

112 Figure 25: Composition Dependent Annealing Method Comparison Comparison between thermal and solvent annealing across a range of block copolymer compositions. Colorized continuous networks of PMMA and PS are overlaid on the left and right sides of the individual SEM images (unmodified in the middle), respectively. Each individually colored network represents an isolated, continuous network, although networks on the image border may be connected outside the imaged area. There is a transition in continuity from PS to PMMA as the volume fraction of PMMA is increased from fpmma = 0.48 to Increasing asymmetry in the composition incorporates larger fractions of the continuous lamellae in a substrate-spanning network. The scale bar corresponds to 1 µm for all images. core dislocations, there are not as many connections between neighboring PS lamellae and there is less redundancy in the pathways that form the network, thereby increasing the chance of forming isolated networks. Solvent annealing also results in fewer PS branches to subdivide the PMMA domains and the PMMA lamellae form larger continuous structures, though none of them are continuous across the imaged area. A more compositional symmetric system at fpmma 0.50 (PSb-PMMA, 47k:53k) formed large networks in both the PS and PMMA domain. This composition is very close to the point of zero spontaneous curvature at fpmma 0.51, and while the PS domain should in theory be continuous, the reduced connectivity made it impossible to image large enough 102

113 areas to infer the topology over the entire substrate and it appears that the PMMA continuity was slightly favored. Additionally, the point of zero spontaneous curvature is calculated at the strong segregation limit and will shift towards symmetric volume fractions with decreasing χn, creating larger networks of both PS and PMMA that may be locally bicontinuous when fpmma Shifting the copolymer composition to the other side of the point of zero spontaneous curvature at fpmma 0.52 (PS-b-PMMA, 47k:58k) produced a continuous blue PMMA network for both thermal and solvent annealing. Each block copolymer had a network continuity that was independent of whether it was annealed by solvent or thermal processing, implying that all types of defects are equally reduced in density through solvent annealing. This observation therefore suggests that the domains are swollen symmetrically by the mixed solvent vapor used here, which is not an unreasonable expectation given that the cyclohexane and acetone solvents are nearly theta solvents for the PS and PMMA domains, respectively, at the processing conditions. The densities of branch and end points in the network after processing by thermal or solvent annealing are quantified in Fig. 26 for the three block copolymer compositions. The branch and end point densities for solvent annealed samples are significantly lower (>2 fold) than those for thermally annealed samples. There is also a clear trend in the branch and end point densities as a function of copolymer composition; as the composition becomes more asymmetric, the branch point density for the continuous majority domain and the end point density in the minority domain increase. This is coupled with a decrease in end point density in the continuous domain and a concomitant decrease in the branch point density of the minority domain. The copolymer composition therefore determines the defect population distribution, and increasing the compositional asymmetry relative to the point of zero spontaneous curvature leads to larger fractions of defects that favor continuity in the majority domain. 103

114 Lamellae formed by block copolymer systems during solvent annealing have low defect densities that are also correlated to a decrease in the average interfacial curvature between domains. Long, straight lamellae are observed to form and to be well-aligned with neighboring lamellae. The persistence length can be used to quantify the straightness of the lamellae and measures the distance along a Figure 26: Thermal and Solvent Annealing Morphology Comparison Branch and end point density in the PS-b- PMMA lamellar morphologies in thin films for solvent annealed (filled symbols) and thermally annealed (open symbols) samples across a range of compositions. Solvent annealing reduces the lamellar defect density and decreases the branch and end point densities for all compositions. Increasing asymmetry in volume fraction shifts the defect populations to favor branch points and connectivity in the volumetrically favored domain. The error bars correspond to two standard deviations. lamellar domain before correlations in direction are lost. Figure 27 shows that PS-b- PMMA lamellae that are solvent annealed have 2-3 times the persistence length of thermally annealed lamellae across all compositions, thus indicating that the direction of the lamellae are correlated over much longer distances. This is consistent with the images shown in Fig. 24 that qualitatively show that straighter lamellae and lower absolute defect densities are achieved by solvent annealing. It is not the case that lower defect densities must necessarily lead to lamellae with longer persistence lengths; network topologies with high tortuous pathways (lamellae) between network nodes (defects such as branches) can be envisioned for any node density. However, there is a clear correlation between defect density and persistence length in thin film lamellar block copolymer systems, likely due to 104

115 the ease with which the energetic penalties associated with interfacial bending and deformation can be minimized in comparison to those energies associated with defect annihilation. The lamellar morphologies with lowdefect densities self-assembled by solvent annealing are found to persist unchanged even upon subsequent thermal annealing, therefore indicating that the lamellar networks are stable and that the processing pathway and history are important. Branch and end point densities of solvent annealed lamellae that are then thermally processed well above the glass transition temperature remain unchanged, and the networks do not revert topologically to those formed by thermally annealing (as shown in the Appendix) even over the course of 12 hrs at 190 C. This suggests that the increased chain mobility and reduced interaction parameter during solvent annealing facilitate defect annihilation and Figure 27: Thermal and Solvent Annealing Persistence Lengths a) Persistence length of lamellar domains for solvent annealed (red triangles) and thermally annealed (blue squares) PS-b- PMMA thin films. Solvent annealing reduces the defect density of all compositions and leads to longer persistence lengths. Error bars correspond to two standard deviations found by linear regression of the fit. b) Examples of the correlation cos θ calculated as a function of lamellar contour length for fpmma = 0.48 (left) and 0.52 (right). The least-squares fits of cos θ = exp L P used to calculate the persistence length P are shown as solid curves. decrease the system free energy beyond what can be achieved by thermal annealing alone. This stability differs from prior observations of morphology and domain orientation in thin film 105

116 morphologies trapped during solvent annealing, and suggests that specific solvent annealing conditions are critical for achieving stable lamellar morphologies and low-defect densities. For example, one would expect that asymmetric domain swelling during solvent annealing would lead to lamellar defect populations that are not dependent solely on the composition of the block copolymer and differ from those achieved here under symmetric swelling conditions or by thermal annealing. 38 Such asymmetrically swollen systems are unlikely to be stable and upon subsequent thermal annealing additional defects may be introduced as a result of volumetric frustrations introduced during solvent annealing. The quality of the solvent also plays a role in the resultant lamellar morphology after solvent annealing. Better solvents will enthalpically favor long, straight polymer chains extending away from the interface and thereby increase the domain size compared to thermal annealing. 53 Alongside the domain expansion, good solvents will also reduce the entropic contribution of each chain and when coupled with asymmetric swelling, may lead to interfacial curvatures not exhibited during thermal annealing. Utilizing a binary blend of theta solvents for the block copolymer domains eliminates complications that may result from asymmetric swelling or chain elongation, and allows for subsequent thermal annealing of the solvent annealed morphologies without concern for structural transitions between the equilibrium and trapped morphologies. We have also characterized the stability of a thermally annealed lamellar thin film upon subsequent solvent annealing. In this case the resulting lamellar networks were identical to those solvent annealed and had no memory of the original thermally annealed morphology, perhaps due to rapid defect annihilation. In conclusion, solvent annealing leads to lamellar morphologies of block copolymers in thin films that are statistically distinct from those self-assembled by thermal annealing. Defect annihilation is facilitated by solvent annealing beyond what is normally achieved by thermal 106

117 annealing, leading to reductions in the connectivity of the lamellar networks without significant changes in their long-range continuity. The ability to directly control the two-dimensional network topologies in thin films enables tuning the in-plane transport properties of the nanostructures important for engineering applications (e.g., barrier membranes or transparent conductive electrodes) Highly interconnected, continuous networks provide redundant pathways and may increase mass or energy flux through parallel transport. The presented work also sheds light on methods that may facilitate further defect annihilation during the directed self-assembly of block copolymers. As the use of block copolymers becomes increasingly widespread due to their compatibility with existing lithographic processes, it is important to ensure perfect registration with guiding templates (e.g., topographic 27, 58, 59 or chemically patterned surfaces 60 ) and extremely low defect densities. By utilizing compositions tuned to match the guiding template and processing conditions that further reduce defect densities, it may be possible to drive the defect density well below the limiting threshold for high performance electronic devices. Experimental Methods Substrate preparation: Silicon wafers were purchased from University Wafer and cleaned using piranha solution. The wafers were then rinsed with deionized water and dried overnight under vacuum. A neutral wetting surface for the block copolymer was created by spincoating a 0.3 wt% solution of random copolymer (PS-r-MMA-r-GMA, 59 mol% PS, 40 mol% PMMA, 1 mol% glycidyl methacrylate) in toluene (anhydrous, EMD Chemicals, 99.8 wt %) on the wafer and annealing for 4 hours at 190 C. 9, 61 Random copolymer that was not crosslinked into the surface treatment layer was removed by sonication in toluene. This surface treatment provided a neutral substrate for all of the lamellar-forming PS/PMMA block copolymer systems characterized here, 107

118 such that there was no preference for PS or PMMA to wet the substrate and perpendicular orientations were achieved. Thin film preparation and block copolymer self-assembly: PS-continuous block copolymer [polystyrene-block-poly(methyl methacrylate) or PS-b-PMMA, 53k:54k, PDI=1.16], PMMAcontinuous block copolymer (PS-b-PMMA, 47k:58k, PDI=1.09), and symmetric block copolymer (PS-b-PMMA, 47k:53k, PDI=1.12) were used as purchased from Polymer Source. Individual solutions were prepared by weighing out dry polymer and adding anhydrous toluene to reach the desired weight fraction. Block copolymer solutions were spin-coated onto previously prepared neutral substrates at a thickness 1.1 times the lamellar periodicity (Lo). Self-assembly by thermal annealing was performed by annealing block copolymer thin films under ~2 Torr vacuum at 190 C for 10 days. Self-assembly by solvent annealing was carried out in a saturated vapor of acetone and cyclohexane. Polymer films were allowed to swell for 270 min to a total thickness of ~3 Lo before quenching using 200 Torr vacuum. The total time to quench was approximately 3 min. Solvent annealed films were subsequently thermally annealed for 1 hr at 190 C to improve phase separation and to flatten the film. Full details of the solvent annealing procedure are available in the Appendix. Nanostructure imaging: The block copolymer morphologies were imaged using a JEOL JSM- 7401F scanning electron microscope (SEM) operating at a 2 kv accelerating voltage and 1 kv sample bias. The nanostructures observed at the top surface of the film were assumed to propagate through the film to the substrate based on cross-sectional SEM images for similar systems with thicknesses approximately equal to Lo. 60 Characterization of lamellar morphology: The as-collected scanning electron micrographs had their contrast enhanced and were smoothed using the ImageJ software. 62 The lamellar periodicity 108

119 of the pure block copolymer was determined to be ~51.8 nm (see Appendix). The lamellar morphology was characterized using an in-house program developed for Matlab (v. R2012a, The Mathworks, Natick, MA). In order to accurately compare the network morphology of the polymers, the branch and end point densities were normalized by the ratio of (Lo,PS-b- PMMA/Lo,53k:54k) 2 to ensure that the same areas relative to Lo were examined. Additional details of the morphological analysis are available in the literature 24 and the Appendix. References 1. Park, M.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Adamson, D. H. Science 1997, 276, (5317), Whitesides, G. M.; Ostuni, E.; Takayama, S.; Jiang, X. Y.; Ingber, D. E. Annual Review of Biomedical Engineering 2001, 3, Hawker, C. J.; Russell, T. P. Mrs Bulletin 2005, 30, (12), Stoykovich, M. P.; Nealey, P. F. Materials Today 2006, 9, (9), Black, C. T. ACS Nano 2007, 1, (3), Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H. C.; Zhang, Y. Ibm Journal of Research and Development 2007, 51, (5), Kim, H. C.; Hinsberg, W. D. Journal of Vacuum Science & Technology A 2008, 26, (6), Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. J. Science 1997, 275, (5305), Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Macromolecules 2008, 41, (17), Koppi, K. A.; Tirrell, M.; Bates, F. S.; Almdal, K.; Colby, R. H. Journal De Physique Ii 1992, 2, (11), Morkved, T. L.; Lu, M.; Urbas, A. M.; Ehrichs, E. E.; Jaeger, H. M.; Mansky, P.; Russell, T. P. Science 1996, 273, (5277), Osuji, C.; Ferreira, P. J.; Mao, G. P.; Ober, C. K.; Vander Sande, J. B.; Thomas, E. L. Macromolecules 2004, 37, (26), Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Advanced Materials 2001, 13, (15), Gowrishankar, V.; Miller, N.; McGehee, M. D.; Misner, M. J.; Ryu, D. Y.; Russell, T. P.; Drockenmuller, E.; Hawker, C. J. Thin Solid Films 2006, 513, (1-2), Aizawa, M.; Buriak, J. M. Chemistry of Materials 2007, 19, (21), Liu, C. C.; Nealey, P. F.; Ting, Y. H.; Wendt, A. E. Journal of Vacuum Science & Technology B 2007, 25, (6), Zschech, D.; Kim, D. H.; Milenin, A. P.; Scholz, R.; Hillebrand, R.; Hawker, C. J.; Russell, T. P.; Steinhart, M.; Gosele, U. Nano Letters 2007, 7, (6),

120 18. Chai, J.; Buriak, J. M. ACS Nano 2008, 2, (3), Xiao, S. A. G.; Yang, X. M.; Lee, K. Y.; ver der Veerdonk, R. J. M.; Kuo, D.; Russell, T. P. Nanotechnology 2011, 22, (30). 20. Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323, (5917), Jung, Y. S.; Lee, J. H.; Lee, J. Y.; Ross, C. A. Nano Letters 2010, 10, (9), Matsen, M. W.; Bates, F. S. Journal of Polymer Science Part B-Polymer Physics 1997, 35, (6), Matsen, M. W. Journal of Physics-Condensed Matter 2002, 14, (2), R21-R Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45, (3), Berry, B. C.; Bosse, A. W.; Douglas, J. F.; Jones, R. L.; Karim, A. Nano Letters 2007, 7, (9), Berry, B. C.; Singh, G.; Kim, H.-C.; Karim, A. ACS Macro Letters 2013, 2, (4), Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Advanced Materials 2001, 13, (15), Ho, R. M.; Tseng, W. H.; Fan, H. W.; Chiang, Y. W.; Lin, C. C.; Ko, B. T.; Huang, B. H. Polymer 2005, 46, (22), Phillip, W. A.; Hillmyer, M. A.; Cussler, E. L. Macromolecules 2010, 43, (18), Fukunaga, K.; Elbs, H.; Magerle, R.; Krausch, G. Macromolecules 2000, 33, (3), Kim, S. H.; Misner, M. J.; Xu, T.; Kimura, M.; Russell, T. P. Advanced Materials 2004, 16, (3), Chow, T. S. Macromolecules 1980, 13, (2), Helfand, E.; Tagami, Y. Journal of Chemical Physics 1972, 56, (7), Xuan, Y.; Peng, J.; Cui, L.; Wang, H. F.; Li, B. Y.; Han, Y. C. Macromolecules 2004, 37, (19), Korczagin, I.; Hempenius, M. A.; Fokkink, R. G.; Stuart, M. A. C.; Al-Hussein, M.; Bomans, P. H. H.; Frederik, P. M.; Vancso, G. J. Macromolecules 2006, 39, (6), Guo, R.; Huang, H.; Chen, Y.; Gong, Y.; Du, B.; He, T. Macromolecules 2008, 41, (3), Wang, Y.; Hong, X. D.; Liu, B. Q.; Ma, C. Y.; Zhang, C. F. Macromolecules 2008, 41, (15), Jung, Y. S.; Ross, C. A. Advanced Materials 2009, 21, (24), Paik, M. Y.; Bosworth, J. K.; Smilges, D.-M.; Schwartz, E. L.; Andre, X.; Ober, C. K. Macromolecules 2010, 43, (9), Albert, J. N. L.; Bogart, T. D.; Lewis, R. L.; Beers, K. L.; Fasolka, M. J.; Hutchison, J. B.; Vogt, B. D.; Epps, T. H., III. Nano Letters 2011, 11, (3), Kim, B.; Hong, S. W.; Park, S.; Xu, J.; Hong, S.-K.; Russell, T. P. Soft Matter 2011, 7, (2), Albert, J. N. L.; Young, W.-S.; Lewis, R. L., III; Bogart, T. D.; Smith, J. R.; Epps, T. H., III. ACS Nano 2012, 6, (1), Gotrik, K. W.; Hannon, A. F.; Son, J. G.; Keller, B.; Alexander-Katz, A.; Ross, C. A. ACS Nano 2012, 6, (9),

121 44. Lee, D. H.; Cho, H.; Yoo, S.; Park, S. Journal of Colloid and Interface Science 2012, 383, Kim, E.; Ahn, H.; Park, S.; Lee, H.; Lee, M.; Lee, S.; Kim, T.; Kwak, E.-A.; Lee, J. H.; Lei, X.; Huh, J.; Bang, J.; Lee, B.; Ryu, D. Y. ACS Nano 2013, 7, (3), Takahashi, H.; Laachi, N.; Delaney, K. T.; Hur, S.-M.; Weinheimer, C. J.; Shykind, D.; Fredrickson, G. H. Macromolecules 2012, 45, (15), Semenov, A. N. Zhurnal Eksperimentalnoi I Teoreticheskoi Fiziki 1985, 88, (4), Barrat, J. L.; Fredrickson, G. H. Macromolecules 1991, 24, (24), Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H. Macromolecules 2006, 39, (16), Kirste, R. G. Makromolekulare Chemie 1967, 101, (MAR), Ballard, D. G. H.; Wignall, G. D.; Schelten, J. European Polymer Journal 1973, 9, (9), Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Macromolecules 1990, 23, (3), Jeong, J. W.; Park, W. I.; Kim, M. J.; Ross, C. A.; Jung, Y. S. Nano Letters 2011, 11, (10), Hu, L.; Hecht, D. S.; Gruner, G. Nano Letters 2004, 4, (12), Singh, M.; Odusanya, O.; Wilmes, G. M.; Eitouni, H. B.; Gomez, E. D.; Patel, A. J.; Chen, V. L.; Park, M. J.; Fragouli, P.; Iatrou, H.; Hadjichristidis, N.; Cookson, D.; Balsara, N. P. Macromolecules 2007, 40, (13), Kocabas, C.; Pimparkar, N.; Yesilyurt, O.; Kang, S. J.; Alam, M. A.; Rogers, J. A. Nano Letters 2007, 7, (5), Jha, A. K.; Chen, L.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2011, 373, (1-2), Jung, Y. S.; Ross, C. A. Nano Letters 2007, 7, (7), Jeong, S. J.; Kim, J. E.; Moon, H. S.; Kim, B. H.; Kim, S. M.; Kim, J. B.; Kim, S. O. Nano Letters 2009, 9, (6), Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, (6947), Han, E.; Stuen, K. O.; La, Y. H.; Nealey, P. F.; Gopalan, P. Macromolecules 2008, 41, (23), Schneider, C. A.; Rasband, W. S.; Eliceiri, K. W. Nature Methods 2012, 9, (7). 111

122 Chapter 5 Continuous Metal Networks Fabricated through Lamellar Block Copolymer Lithography *This chapter was submitted for publication in December Abstract By combining bottom-up and top-down processes, block copolymer lithography may enable the fabrication of nanostructured materials prohibited through typical bottom-up synthesis methods. Herein we describe a general method for templating continuous two-dimensional nanostructured materials of nearly arbitrary composition using lamellar-forming polystyreneblock-poly(methyl methacrylate) (PS-b-PMMA) with continuous PMMA domains and top-down processes. The compatibility of block copolymer processing with roll-to-roll assembly and parallel assembly over arbitrarily large substrates suggests that this method may be promising for largescale fabrication of nanostructured materials. Block copolymers self-assembled in thin films have attracted attention as a new lithographic material that may complement existing nanolithographic techniques due to their many advantages, such as parallel assembly over arbitrarily large areas, low material cost, and size tunability below 50nm Among the morphologies that can be achieved by varying the volume fraction between the two blocks of diblock copolymers, cylinders and lamellae have attracted the most interest for nanolithography. 7, With these two dimensional morphologies, continuous domains of each block propagate from the free surface to the substrate when the interfaces between the two blocks assemble perpendicular to the substrate. Following perpendicular ordering, selective removal of a single block results in a template formed in the shape of the other block with the substrate surface exposed between the polymeric features, allowing the use of standard 112

123 microfabrication techniques to create novel nanostructured materials Cylinder-forming block copolymers have been used as templates for plasmonic nanodots 19, filtration membranes 20-23, transparent electrodes 24, and as etch masks Lamellar-forming block copolymers have attracted interest as a template for one-dimensional nanowires, through deposition or etching, and approaches such as chemoepitaxial and graphoepitaxial self-assembly have been used to fabricate parallel one-dimensional nanowires over large areas. 28 Metal nanowires have also been fabricated with the shape of randomly assembled block copolymers by incorporating metallic precursors into the block copolymer followed by removal of the polymer components, leaving a metal nanowire network on the substrate. 29 However, nanowires fabricated by directed self-assembly of block copolymers have only demonstrated continuity in one dimension and continuity has not been demonstrated for nanowires templated using metallic precursors coupled with random selfassembly. Two-dimensional lamellar block copolymer networks, colloquially called the fingerprint morphology, are formed when block copolymers randomly self-assemble on a neutral wetting surface (e.g. random copolymer brush, spin on glass). 30 The neutral wetting surface orients the interface between blocks perpendicular to the substrate during self-assembly and at that point the networks can be considered two-dimensional because the lamellar features have a constant structure through the film thickness. We have recently shown that the continuity of lamellarforming block copolymers depends on the block copolymer composition relative to the point of zero spontaneous curvature and that the continuity can be readily tuned by volumetrically favoring either block. 31 Increasing the asymmetry of the block copolymer composition forms additional connections between individual lamella of the continuous block, thereby increasing the connectivity of that domain. The additional domain connectivity may be useful for engineering 113

124 applications using templated materials because it improves the network redundancy. Engineered redundancy increases flux by allowing parallel transport through the additional pathways and ensures that connections are maintained if there are discontinuities introduced during pattern transfer or normal device wear. In this work, we report the fabrication of continuous gold nanowire networks through the use of randomly oriented, lamellar-forming, block copolymer templates. By shifting the block copolymer composition to a sufficiently asymmetric volume fraction, the continuity of the template is preserved throughout the process and is sufficient to accommodate any defects in continuity that may arise during the patterning steps. Utilizing random self-assembly has the benefit of eliminating the processing cost and time associated with advanced nanolithography (e.g. chemoepitaxy or graphoepitaxy), and is an inexpensive pathway for quickly producing continuous nanostructures on wafer-scale areas. The networks are shown to be continuous over areas greater than 1 cm 2, nearly transparent, and confined to a single plane (e.g. two-dimensional). The sheet resistance of the networks decreases with increasing gold nanowire thickness and a resistance of 934 Ω/ was measured for the 15nm thick gold network. Transmittance for the networks likewise decreased with increasing film thickness, and was measured at greater than 85% between 400 nm and 850 nm wavelengths. Additionally, the nanostructured networks possess a localized surface plasmon resonance (LSPR) near 600nm wavelength that shifts to lower wavelength with increasing gold nanowire thickness. The LSPR is a collective oscillation of electrons in response to a resonant wavelength of light, and is a characteristic property of noble metal nanocrystal systems. The LSPR of nanocrystals has proven useful for plasmon enhanced solar cells 32, biological sensing 33-36, and targeted cell therapy and many of these applications can be extended to two-dimensional gold nanomeshes, with the additional advantage of conductivity over 114

125 arbitrarily large areas. The combination of enhanced transmittance, localized surface plasmon resonance, and conducting pathways for charge transport from materials deposited by top-down processes suggest that block copolymer lithography is a useful tool for inexpensively fabricating nanostructured materials with nearly arbitrary composition. Control over the continuity of lamellar-forming block copolymer networks critically important for patterning well-connected nanowire networks and can be achieved through compositional control of the block copolymer. By volumetrically favoring a specific domain relative to the point of zero spontaneous curvature (i.e. the composition where each domain contributes equal entropic energy and neither domain forms substrate-spanning networks), the continuity of the self-assembled structure can be engineered based on functional chemistries and subsequent processing steps. 31 Addition of homopolymer to the majority domain relieves packing frustration in branch structures where the domain width deviates from the bulk. 42, 43 These branch structures improve the connectivity of patterned nanowire networks, provide redundancy for electrical transport, and in this case, maintain continuity during subsequent pattern transfer processes. A general scheme for fabricating continuous golf nanomeshes is shown in Figure 28. A PMMA-continuous block copolymer blended with a small fraction of PMMA homopolymer was chosen to create a well-connected PMMA network and assembled on a neutral surface formed by a random copolymer brush. 44 Self-assembly of the block copolymer followed a saturated solvent vapor approach described in the Appendix to produce ordered linear structures. While thermal annealing is capable of producing structures with greater connectivity (based on top-down SEM imaging) due to the higher density of dislocation and disclination defects, we found that many of 115

126 these defects possess minor three dimensional structures which occasionally undercut subsequent deposition steps. Standard PMMA removal by 254 nm UV exposure and rinsing with acetic acid produced a discontinuous PS template. It is obvious that small PS structures intruded under the PMMA domain or the PMMA was not completely removed and a descum step using oxygen plasma was incorporated to smooth the PS template, remove the neutral polymer brush, and provide for better adhesion of the evaporated metals. After thermal evaporation of a chrome adhesion layer and the gold film, sonication of the substrates in Figure 28: Continuous Nanomesh Fabrication Scheme 116 Step-by-step process for the fabrication of metal nanowire meshes from lamellarforming block copolymer templates. a) Solvent annealing of a lamellar forming PSb-PMMA (Mw = 47-b-58 kg mol -1 ) with a small fraction of PMMA homopolymer (Mw = 20 kg mol -1 ) produces a network continuous in the PMMA domain. b) Exposure of the film to 254 nm UV radiation and rinsing in acetic acid selectively removes the PMMA to generate a PS template. c) Oxygen plasma etching removes residual PMMA, eliminates the neutral wetting surface treatment, and smoothes the PS template. d) Thermal evaporation of a 1~2 nm Cr adhesion layer and the desired Au nanowire thickness, followed by liftoff with sonication in toluene solvent, creates a two-dimensional, continuous mesh of gold nanowires.

127 toluene removed the PS and gold on the top surface of the PS, leaving a continuous gold nanowire network on the substrate. The gold nanowires replicate the PMMA domain and form a continuous two-dimensional network over the substrate, with a large area shown in Figure 29a. We have noticed that on insulating substrates, such as silicon dioxide, that larger nanowire networks appear brighter in the field of view of the scanning electron microscope (SEM). Small nanowire segments have a finite amount of charge they can absorb from the electron beam before charge saturation occurs and further electrons are deflected away from that particular sample location. As the beam is deflected, signal received at the detector from that isolated wire will be reduced and the wire will appear dimmer in the micrograph. With a substrate-spanning continuous gold network, the amount of charge that can be absorbed is essentially infinite compared to the small segments and the network appears very bright in the SEM field. This is clearly reflected in Figure 29a, with the network spanning the entire imaged area appearing very bright and small nanowire segments nearly blending into the substrate. The evaporated gold film is not as dense as a sputtered film or bulk gold. This leads to increased resistivity compared to bulk gold and results in rougher interfaces after liftoff. A top down SEM of 15nm thick gold nanowires is shown in Figure 29b and the textured top surface is immediately apparent. The rough nanowire-air interface may cause additional scattering of electrons during electrical transport, leading to increased resistance compared to a smooth surface. Cross-sectional micrographs taken from an angle of 45 degrees of 5, 10, and 15 nm thick nanowires are shown in Figs. 29c, 29d, and 29e, respectively. As the film thickness increases, the statistical nature of evaporative deposition takes over and the top surface of the film becomes smoother. The roughness is especially noticeable with a 5 nm thick gold film, where the top surface appears pitted 117

128 and cratered when compared with the 10 and 15 nm thick films. The width of the nanowires was found to be 26.3 ± 2.2 nm through profilometry based on SEM images of the nanowires (see Appendix), and therefore each individual nanowire has a cross sectional aspect ratio of less than one. With this aspect ratio, each nanomesh thickness should have scattering events dominated by effects from the film thickness. Electrical properties of the gold nanomeshes on silicon oxide were measured by a four point probe with tip spacings of 635 microns. Random sampling of the films never failed to find a conductive surface and the distribution of sheet resistances was narrow with standard deviations well below 30% of the mean. The sheet resistances of each nanowire thickness and the corresponding sheet resistance of an equivalently thick gold evaporated gold film are shown in Figure 30. The thickest wires (15 nm) had a sheet resistance of 934 ± 264 Ω/, but this resistance was over two orders of magnitude greater than that of the equivalent unstructured gold film, which had a sheet resistance of 6.1 Ω/. Percolating transport through the random, curvy network leads to transport pathlengths much longer than the physical separation of the probes, not all nanowires may contribute to transport between probes, and most notably, nanostructuring results in a finite number of pathways compared to the essentially infinite number in a thin metal film. Additionally, nearly half of the originally deposited metal is removed during the liftoff of the PS template, leaving interfaces between the nanowires which may contribute to more scattering and greater sheet resistance. The localized surface plasmon resonance (LSPR) is commonly observed for noble metal nanoparticles or nanostructured materials. 45, 46 The characteristic peak of the LSPR can be observed in the optical extinction spectra of the 5, 10, and 15 nm thick gold nanowire networks shown in Figures 31a and 31b, although the peak is very faint for the thinnest film, likely due both 118

129 the thin profile of the nanowires and optical scattering due to the rough nanowire surface (Figure 29c). The location of the LSPR peak redshifts ~40 nm with decreasing film thickness and allows the peak absorbance to be tuned alongside the sheet resistance. While the sheet resistance 119

130 shown previously is also thickness dependent, engineering the connectivity of the block copolymer template may enable a range of sheet resistances for a given nanowire thickness. The absorbance for nanostructured films is greatly reduced compared to that of an equivalently thick unstructured film (Figure 31b) and this directly corresponds to a relative increase in the transmittance for the Figure 29: Gold Nanowire Networks a) Large area image of a gold nanowire network. The bright regions correspond to nanowires connected to the substrate-spanning network. b) The rough top surface of the nanowires increases the likelihood of scattering events and increases the gold resistivity. c),d),e) 45 degree cross-sectional images of 5 nm, 10nm, and 15nm thick gold nanowire networks, respectively. Thicker films smooth the nanowire surface and improve charge transport. 120

131 nanomeshes (Figure 31c). Across the visible range, the transmission for a 15 nm thick nanomesh exceeds 85% and is greater than 95% for a 5nm thick nanomesh. The transmission enhancement is greater than the change simply expected from decreased surface coverage and there are many literature reports of plasmon-enhanced transmittance through nanostructured films due to a strong coupling between each film surface. 47 The strong coupling results in transmission efficiencies orders of magnitude greater than expected and although these nanomeshes do not quite show this degree of enhancement, a similar phenomenon may be responsible for the relative increase in transmittance compared to areal coverage. The block copolymer composition utilized to fabricate these continuous networks was well beyond the point of zero spontaneous curvature. This is beneficial for creating pathways for parallel transport and also minimizes the effect of processing defects on the continuity of the network. While the majority of PMMA lamellae are encompassed in the substrate-spanning network, very few contribute to meaningful transport in a specified direction. A simple transport model shown in Figure 32 demonstrates over a small area (2.5 µm x 5 µm) how few pathways there are between arbitrary boundaries for two block copolymer compositions. The model converts the PMMA domain into a single pixel width network and applies boundary conditions at the left and right edges to simulate potential drop from a Figure 30: Gold Nanowire Sheet Resistances The thickness-dependent sheet resistances (in Ω/ ) of the gold nanowire meshes (solid symbols) compared to evaporated gold films (open symbols) of identical thickness. photolithographically patterned source and drain. Iterative calculations allow the potential to distribute based on pathlength 121

132 between the source and drain and current is later calculated from potential drop along a nanowire segment. The resistivity used to approximate the properties of the networks was based on electrical measurements of a flat gold sheet of 15nm thickness and the nanowire dimensions were based on profilometry. A number of pathways for transport between the source and drain may exist out of the simulated area but the increased pathlengths reduce their prospective contribution to the total current. There is a dramatic difference in the number of pathways between fpmma = 0.52 and 0.55; increasing the asymmetry in block copolymer composition improves the network connectivity and increases the fraction of lamellae that contribute to transport between the source and drain. For fpmma = 0.55, the simulated sheet resistance for a zero defect structure was found to be 418 ± 161 Ω/ (Figure 33), which has reasonable agreement with the experimental nanowire sheet resistance (934 Ω/ ), although the resistivity of an individual nanowire is likely greater than that of the thin gold film because of scattering at the nanowire edges and improved measurements may bring the experimental and theoretical values into closer agreement. Beyond increased resistivity, the sheet resistance of the nanowire networks suffers from processing defects that reduce the connectivity of the network. During self-assembly the lamellar network is in constant flux and defect annihilation events occur regularly. Lamellar defect annihilation generally requires a block to cross the opposite domain and defect annihilation events occurring during the final stages of selfassembly can be locked in place when the polymers return to a glassy state. After PMMA removal, this may result in a PS undercut of the PMMA lamellae that prevents adhesion of chrome and gold to the surface and creates a network disconnect. One such defect is shown as an inset in Figure

133 and essentially destroys a branch point as observed from top-down microscopy. By eliminating random branch points at a specific rate, the effect of defectivity on the sheet resistance for multiple compositions was simulated and revealed that even very small defect rates (<10%) were sufficient to increase the sheet resistance by a factor of two because of the small number of pathways contributing to transport. Based on these simulations, the branch defect level of the experimental nanowire networks can be estimated at 10% although numerous other factors likely contribute to the discrepancy between simulation and experiment (e.g. outof-plane transport, resistivity). The additional network redundancy engineering into the networks through increasingly asymmetric Figure 31: Gold Nanowire Optical Properties Extinction spectra of a) Au nanowire meshes indicate a characteristic LSPR peak that is absent from b) unstructured Au thin films. Continuous and dashed curves indicate nanowire meshes and thin films, respectively, and the red, green and blue colors correspond to 5, 10 and 15 nm thick materials, respectively. c) The transmittances for the Au nanomeshes greatly exceed those of the corresponding thin films and are greater than 85% across the visible spectrum. 123 block copolymer composition lowers the

134 resulting sheet resistance and ensures that a continuous network is fabricated at relatively high defect levels. In conclusion, engineering the network continuity and connectivity of self-assembled lamellar block copolymers enables the formation of continuous, two-dimensional templates for microfabrication through additive or reductive processes. By combining bottom-up and top-down fabrication processes, block copolymer lithography allows for the rapid creation of continuous nanostructured materials with nearly arbitrary composition. The resulting two-dimensional structures are compatible with standard photolithographic patterning and may be useful components for advanced microdevice manufacture. The potential for block copolymer assembly integration with roll-toroll processes may also enable the Figure 32: Simulated Nanowire Network Transport Simulated electrical transport in 2D and continuous Au nanowire meshes fabricated from block copolymer lamellae. Connected pathways between the electrodes are colorized based on the magnitude of the current they transport at a 1 V bias (colorbar at left) and overlaid on top-down scanning electron micrographs of the block copolymer lamellae. The nanomeshes have different topology and transport characteristics as a function of the block copolymer composition (fpmma = 0.52 and 0.55 shown at left and right, respectively). The nanowire meshes shown span an electrode gap with dimensions of L = 5 μm and W = 2 μm. large-scale manufacture of materials with characteristic nanoscale properties (e.g. LSPR) under mild processing conditions. Finally, this first demonstration that continuous lamellar networks can be translated into continuous metal nanostructures without 124

135 complicated processing steps may inspire renewed interest in randomly oriented block copolymer assembly and develop new methods for further reducing the processing defect density. References 1. Segalman, R. A. Materials Science & Engineering R- Reports 2005, 48, (6), Hawker, C. J.; Russell, T. P. MRS Bulletin 2005, 30, (12), Nealey, P. F. Abstracts of Papers of the American Chemical Society 2006, 231, 57-COLL. 4. Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H. C.; Zhang, Y. IBM Journal of Research and Development 2007, 51, (5), Ross, C. A.; Cheng, J. Y. Mrs Bulletin 2008, 33, (9), Kim, H. C.; Hinsberg, W. D. Journal of Vacuum Science & Technology A 2008, 26, (6), Jeong, S. J.; Xia, G. D.; Kim, B. H.; Shin, D. O.; Kwon, S. H.; Kang, S. W.; Kim, S. O. Advanced Materials 2008, 20, (10), Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Advanced Materials 2009, 21, (47), Kim, H. C.; Park, S. M.; Hinsberg, W. D. Chemical Reviews 2010, 110, (1), Albert, J. N. L.; Epps, T. H. Materials Today 2010, 13, (6), Figure 33: Modeled Network Composition and Defectivity Simulated sheet resistance of Au nanowire meshes (L = 5 μm and W = 2 μm) as a function of the network topology and defectivity. The network topology and transport characteristics are influenced by defectivity in the branch points, as shown in the inset SEM image where a defect annihilation event undercuts metal deposition (highlighted by a green circle), that arise during fabrication due to localized block copolymer 11. Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Advanced Materials 2001, 13, (15), Ross, C. Annual Review of Materials Research 2001, 31, Chai, J.; Buriak, J. M. ACS Nano 2008, 2, (3), Xiao, S. A. G.; Yang, X. M.; Lee, K. Y.; ver der Veerdonk, R. J. M.; Kuo, D.; Russell, T. P. Nanotechnology 2011, 22, (30). 15. Kim, J. Y.; Kim, B. H.; Hwang, J. O.; Jeong, S.-J.; Shin, D. O.; Mun, J. H.; Choi, Y. J.; Jin, H. M.; Kim, S. O. Advanced Materials 2013, 25, (9), Guarini, K. W.; Black, C. T.; Yeuing, S. H. I. Advanced Materials 2002, 14, (18), Jeong, U. Y.; Ryu, D. Y.; Kim, J. K.; Kim, D. H.; Wu, X. D.; Russell, T. P. Macromolecules 2003, 36, (26), Bang, J.; Kim, S. H.; Drockenmuller, E.; Misner, M. J.; Russell, T. P.; Hawker, C. J. Journal of the American Chemical Society 2006, 128, (23),

136 19. Shin, D. O.; Jeong, J.-R.; Han, T. H.; Koo, C. M.; Park, H.-J.; Lim, Y. T.; Kim, S. O. Journal of Materials Chemistry 2010, 20, (34), Yang, S. Y.; Ryu, I.; Kim, H. Y.; Kim, J. K.; Jang, S. K.; Russell, T. P. Advanced Materials 2006, 18, (6), Yang, S. Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S. K.; Kim, J. K. Advanced Functional Materials 2008, 18, (9), Phillip, W. A.; O'Neill, B.; Rodwogin, M.; Hillmyer, M. A.; Cussler, E. L. ACS Applied Materials & Interfaces 2010, 2, (3), Jha, A. K.; Tsang, S. L.; Ozcam, A. E.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2012, 401, Alam, M. M.; Kim, J. Y.; Jung, W. G. Thin Solid Films 2012, 520, (16), Park, O.-H.; Cheng, J. Y.; Hart, M. W.; Topuria, T.; Rice, P. M.; Krupp, L. E.; Miller, R. D.; Ito, H.; Kim, H.-C. Advanced Materials 2008, 20, (4). 26. Chao, C. C.; Wang, T. C.; Ho, R. M.; Georgopanos, P.; Avgeropoulos, A.; Thomas, E. L. ACS Nano 2010, 4, (4), Ku, S. J.; Kim, S. M.; Bak, C. H.; Kim, J.-B. Polymer 2011, 52, (1), Jeong, S. J.; Moon, H. S.; Shin, J.; Kim, B. H.; Shin, D. O.; Kim, J. Y.; Lee, Y. H.; Kim, J. U.; Kim, S. O. Nano Letters 2010, 10, (9), Chai, J.; Wang, D.; Fan, X. N.; Buriak, J. M. Nature Nanotechnology 2007, 2, (8), Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Macromolecules 2008, 41, (17), Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45, (3), Qiao, L.; Wang, D.; Zuo, L.; Ye, Y.; Qian, J.; Chen, H.; He, S. Applied Energy 2011, 88, (3), Willets, K. A.; Van Duyne, R. P., Localized surface plasmon resonance spectroscopy and sensing. In Annual Review of Physical Chemistry, 2007; Vol. 58, pp Jain, P. K.; Huang, X.; El-Sayed, I. H.; El-Sayed, M. A. Accounts of Chemical Research 2008, 41, (12), Anker, J. N.; Hall, W. P.; Lyandres, O.; Shah, N. C.; Zhao, J.; Van Duyne, R. P. Nature Materials 2008, 7, (6), Stewart, M. E.; Anderton, C. R.; Thompson, L. B.; Maria, J.; Gray, S. K.; Rogers, J. A.; Nuzzo, R. G. Chemical Reviews 2008, 108, (2), Loo, C.; Lin, A.; Hirsch, L.; Lee, M. H.; Barton, J.; Halas, N. J.; West, J.; Drezek, R. Technology in Cancer Research & Treatment 2004, 3, (1), Gao, X. H.; Cui, Y. Y.; Levenson, R. M.; Chung, L. W. K.; Nie, S. M. Nature Biotechnology 2004, 22, (8), Brannon-Peppas, L.; Blanchette, J. O. Advanced Drug Delivery Reviews 2004, 56, (11), Chen, J.; Wang, D.; Xi, J.; Au, L.; Siekkinen, A.; Warsen, A.; Li, Z.-Y.; Zhang, H.; Xia, Y.; Li, X. Nano Letters 2007, 7, (5), Dickerson, E. B.; Dreaden, E. C.; Huang, X.; El-Sayed, I. H.; Chu, H.; Pushpanketh, S.; McDonald, J. F.; El-Sayed, M. A. Cancer Letters 2008, 269, (1), Broseta, D.; Fredrickson, G. H. Journal of Chemical Physics 1990, 93, (4),

137 43. Matsen, M. W. Macromolecules 1995, 28, (17), Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41, (23), Link, S.; El-Sayed, M. A. International Reviews in Physical Chemistry 2000, 19, (3), Mock, J. J.; Barbic, M.; Smith, D. R.; Schultz, D. A.; Schultz, S. Journal of Chemical Physics 2002, 116, (15), Schider, G.; Krenn, J. R.; Gotschy, W.; Lamprecht, B.; Ditlbacher, H.; Leitner, A.; Aussenegg, F. R. Journal of Applied Physics 2001, 90, (8),

138 Chapter 6 Stretchable nanomaterials templated by lamellar-forming block copolymers *This chapter was submitted for publication in December Abstract Stretchable and flexible materials take advantage of serpentine transport pathways and the additional flexibility conferred by thin film structures to create advanced devices capable of conforming to complex surfaces and accommodating high strains. However, many of these devices rely on micron-scale constituents and decreasing the fundamental size of the stretchable material may allow for increased feature density and increased functionality. Herein, we demonstrate that two-dimensional materials with feature widths of 26 nm templated by lamellar-forming block copolymers are capable of undergoing extreme deformations (e.g. folding, tensile strain) while maintaining continuous structures. With strain accommodation imparted by the lamellar structure, these results suggest that many novel nanostructured materials may be patterned via top-down processes and incorporated into stretchable devices. Stretchable and flexible functional devices are typically generated via top-down fabrication techniques; however, integration of materials such as nanowires or nanotubes into stretchable and flexible devices, such as stretchable transparent conducting electrodes or flexible transistors, has begun to merge bottom-up with top-down The nanowires or nanotubes integrated into these devices rely on overlap of the individual constituents to generate a network for percolating transport, but overlap of the wires creates an inherently three-dimensional structure. Although three-dimensions are acceptable for many applications, lithographic patterning is typically limited 128

139 to two dimensions and advanced device fabrication may benefit from stretchable and flexible materials that are also two-dimensional, such as in-plane serpentine interconnects. 15 These interconnects possess pathlengths much greater than the physical separation between components and accommodate tensile strain through elongation of the serpentine structure. Compressive strain can be accommodated through out-of-plane deformations of the thin film that do not rely on the serpentine morphology. While devices relying upon thin serpentine connections have thus far proven to be highly successful, further miniaturization to increase component density may allow 5, for additional device complexity and functionality. Block copolymer self-assembly generates periodic nanostructures whose dimensions can be engineered below 10 nm and confinement of the self-assembled structures in thin films generates morphologies that are essentially two-dimensional Self-assembly of diblock copolymers, such as polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA), with symmetric volume fractions of each block generates alternating line arrays known as the lamellar morphology. When randomly oriented, lamellar-forming block copolymers generate a structure colloquially known as the fingerprint morphology. These randomly oriented lamellae form networks in each block, and the continuity of each lamellar domain can be engineered by tuning the relative volume fraction of each block. 28 Although bicontinuous block copolymer morphologies are well-known in three dimensions, they are prohibited in two dimensions and tuning the continuity is critically important for templating continuous two-dimensional materials The structure of an individual lamella is analogous to the serpentine interconnects utilized in many advanced stretchable devices, suggesting that two-dimensional materials templated by randomly oriented block copolymer lamellae may be inherently stretchable. The characteristic widths of the lamellae are two to three orders of magnitude smaller than the width 129

140 of serpentine interconnects and may enable stretchable device fabrication at remarkably high feature density. Although past work has demonstrated that materials templated by lamellar networks are inherently flexible due to their thin film structure, stretchability and continuity of the two-dimensional lamellar structures has not yet been achieved. 32 Here we report the successful fabrication of continuous two-dimensional nanostructured materials through a combinatorial process of bottom-up block copolymer self-assembly and topdown microfabrication techniques, the transfer of these two-dimensional networks to flexible and stretchable substrates through generic processes, and demonstrate that the networks reliably deform to accommodate flexion or tensile strain while maintaining continuity. Taken as a whole, these results demonstrate that block copolymer lithography may be useful beyond directed selfassembly and that randomly oriented lamellae can be utilized in the fabrication of complex stretchable devices. The generic top-down deposition processes employed suggest that novel inorganic material combinations can be achieved, allowing for stretchable nanostructured materials that may be prohibited through bottom-up synthesis. Furthermore, block copolymer selfassembly occurs in parallel over arbitrarily large areas and may be appropriate for integration into roll-to-roll processing, thus enabling the rapid fabrication of inexpensive stretchable and flexible electronic device components using the methods detailed herein. The topology of the networks created by lamellar-forming block copolymers is dictated by the number and type of defects present after self-assembly and engineering the defect distribution allows for the creation of continuous lamellar networks in either domain. Defect types are driven by the entropic contributions of each block to the system free energy and the block with the larger entropic contribution will tend to form on the outside of curved interfaces, leading to the creation of branch structures in that domain. While the lowest energy state for lamellar-forming block 130

141 copolymers corresponds to zero average curvature and a parallel line array, elevated self-assembly temperatures or ambient temperature stabilize a population of defects that create the branches and tortuosity of the lamellar PS-b-PMMA networks shown in Figure 34a. The entropic contributions of each block to the system free energy can be approximated using the volume fraction and determine the self-assembled morphology. By volumetrically favoring a particular block compared to the point of zero spontaneous curvature (i.e. the symmetry point for a block copolymer system), that block will preferentially form on the outside of curved defect interfaces and create a continuous network through branch formation. The PS and PMMA domains in Figure 33a appear as light gray and black, respectively, and the continuity of each domain can be mapped Figure 34: Nanowire Mechanics a) Lamellar-forming PS-b-PMMA assembled in saturated solvent vapors. The light and dark regions correspond to PS and PMMA, respectively. b) Mapping the continuous network of PMMA (blue overlay) over a much larger area shows that this composition forms a substrate spanning PMMA network. The high density of branches in the network imparts redundancy as outlined by the red dotted line. The shape of isolated lamella, highlighted by gold dots, imparts stretchabiliy as diagrammed in (c). Scale bar corresponds to 250 nm. over areas much larger than shown. By portraying each individually connected network as a separate color, a qualitative measure of domain continuity can be achieved. The PMMA network from a much larger image corresponding to the cropped SEM in Figure 33a is overlaid on the micropgrah in Figure 33b and it is clear that each lamella is connected to a much larger blue network, which can be inferred to span the entire substrate. This network 131

142 morphology was achieved by utilizing PS-b-PMMA with a volume fraction of PMMA (fpmma) equal to 0.53 and blending PMMA homopolymer with the block copolymer to increase the volume fraction asymmetry and relieve packing frustration associated with defect structures. We are concerned with forming a continuous PMMA domain because PMMA breaks down upon exposure to 254 nm UV radiation and can be selectively removed using acetic acid, leaving a polymeric PS mask and continuous networks of exposed underlying substrate. The morphology of the randomly oriented block copolymer domains immediately shows a number of potential advantages as a template for functional devices. First, the lamellar networks possess redundancy as a result of high defect density favoring PMMA connectivity. Over 95% of the PMMA domains are encompassed in a substrate spanning network and material deposited should possess a similar level of connectivity. This allows for parallel transport through the network for conductive materials and ensures that the network will remain continuous if a lamella is fractured. A small example of the redundancy is shown by the red dotted line in Figure 34b, where it can be seen that the lamellae branch and rejoin repeatedly. The structure of individual lamella also lends itself to integration in stretchable devices. Defect structures propagate away from the defect site and cause other lamellae to reorient slightly. In Figure 34b, the gold dotted line highlights this effect with a long, wavy lamellae persisting across the imaged area. While the deformation mechanics are complex and strain accommodation of a metal network will involve long-range cohesive rearrangement of the nanostructure, this would not be possible if lamella were unable to accommodate strain. During tensile strain, nanostructures templated by the PMMA domain elongate to accommodate the induced stress as diagrammed in Figure 34c. Compressive strain results in additional bending of the nanostructure and will likely buckle the structure away from the substrate, as previously seen for stretchable electronic devices based on thin silicon 132

143 sheets. The elongation of individual network components is unique to this structure and allows for deformations that would not be possible using a rigid lattice. Self-assembly of the block copolymer template was conducted by solvent annealing using a saturated solvent mixture of acetone and cyclohexane. These near-theta solvents for PMMA and PS, respectively, swell the thin polymer film to lower the glass transition temperature and increase the mobility of individual polymer chains. This enables self-assembly at room temperature and facilitates defect annihilation beyond what can be achieved with uniform thermal annealing. The result is a PMMA-continuous block copolymer film with long range order as shown in Figure 35a. Exposure of the film to low doses of 254 nm UV radiation breaks down the PMMA chains and rinsing in acetic acid removes the oligomers, leaving the PS template shown in Figure 35b. A descum step using oxygen plasma must be performed on the PS template to remove small polymer structures present between the PS templates and to remove the random copolymer brush used to create a neutral wetting surface for PS and PMMA. Figure 35c shows the PS template remaining after the descum step with substrate exposed between the smoothed PS templates. The descum process step also adds some flexibility to the PMMA removal step by opening up areas that may have pinched together, which would normally result in a break in the nanostructure and reduce the overall connectivity of the network. Following descum, thermal evaporation was used to deposit thin metal films (chrome and gold) onto the substrate and sufficient sonication in toluene removed the PS template. Figure 35d shows an example of the continuous gold nanowires after PS template removal. An example IV curve taken using a two-point probe spaced a 500 microns is inset and demonstrates the network continuity over large areas. 133

144 Releasing the nanowires from an underlying sacrificial substrate through a wet etch process (such as silicon dioxide and hydrofluoric acid) allows for simple demonstrations of the flexibility and imply the stretchability of the nanowire network. The additional flexibility imparted by the thin film structure is immediately apparent in Figure 36a where a two-dimensional gold nanowire sheet can be observed to fold over on itself. The inset shows an even closer view of the phenomenon and the nanowires appear to maintain continuity even at this extremely small radius of curvature. The continuous nanowire network holds itself together after undercut etching and can also be rolled into a bulk material after release in hydrofluoric acid as shown in Figure 36b. The overlap of individual nanowires creates a Figure 35: Stretchable Nanomaterial Process Flow Exposure of the PMMA-continuous lamella (a) to 254 nm UV radiation breaks down the PMMA, which can then be rinsed away using acetic acid leaving the PS template in (b). Oxygen plasma is used to smooth the template and expose the surface (c) for metal deposition and liftoff in toluene (d).the metal networks are conductive over large areas (d, inset) and can be used as etch masks for anisotropic etching of silicon (e). The mechanics of high aspect ratio nanoribbons are expected to be dramatically different from the thin ribbons. All scale bars 250 nm unless otherwise noted. highly connected, highly conductive structure that possesses properties characteristic of its nanostructured constituents. Creating a step change in height using standard photolithographic processes on top of the networks 134

145 (Figure 36c) demonstrates that the nanowire elements are capable of accommodating strain while maintaining continuity. While traversing the step change, nanowires that do not contribute to long range continuity are unperturbed while elongation of nanowires that connect the locally displaced regions accommodates strain. Because large fractions of the network are unimportant for long range continuity, the network is able to locally twist during deformation and maintain continuity. Capillary forces acting on a sample of partially released nanowires shown in Figure 36d show some of the many deformations of which the network is capable. Branches stretch to facilitate deformation in multiple directions, wires elongate, and wires become compressed in response to stress. As expected, nanowires on stretchable and flexible substrates demonstrate nearly identical mechanical properties to those observed on rigid substrates, although the interaction of the substrate with the nanowires during deformation may limit the degrees of freedom for strain accommodation of the nanowires. After undercut Figure 36: Deformed Nanowires on Rigid Substrates The nanowire networks were capable of maintaining continuity during deformation processes such as a) folding, b) rolling into a bulk wire, and c) conforming to a step height change. d) Partially released nanowires undergo elongation to maintain continuity during stress caused by capillary forces. 135

146 using a dry etch process (to preserve the two-dimensional structure), the networks can be transferred to polydimethylsiloxane (PDMS) utilizing a capillary-driven drying process. Placing a drop of water on PDMS, inserting a sample with undercut wires into the drop, and allowing the water to dry facilitates contact between the surfaces and transfers the nanowires to the PDMS as shown in Figure 37a. From the inset, it is apparent that complete transfer occurs uniformly across the substrate. During transfer, regions that are not connected to the continuous network float into the water and may redeposit on the wafer, making the structure slightly three dimensional. While these pieces facilitate local transport, substrate spanning continuity is still maintained by the engineered network. Released nanowire networks can also be transferred to rigid polymer substrates without the use of water using adhesives as shown in Figure 37b. The transfer is uniform and the two-dimensional structure of the nanowires is preserved throughout the liftoff process. The response of the metal network on PDMS to uniaxial tensile strain is shown in Figure 37c. Directional stress elongates wires in the direction of strain and the elongation of individual wires pulls the network elements closer together to maintain the continuity. When subjected to uneven biaxial strain, as shown in Figure 37d, nanowires continue to elongate in each direction but gaps in the network between large nanowire groups are more noticeable perpendicular to the direction of high strain. There are critical connections between isolated, but interwoven, network building blocks. The connections bridging the local network components with the substrate-spanning network are the first to elongate and the network is mechanically stable enough to pull the components away from regions to which they are not connected and open up gaps to the substrate. If unsupported, this type of network connectivity would also allow additional degrees of freedom for rotation. In Figure 37d, the uneven strain level is also reflected in the elongation of nanowires in each direction. Nanowires aligned with high strain are nearly straight while the wires aligned 136

147 with relatively low strain maintain most of the original structure. The extreme of nanowire elongation before fracture is inset in Figure 36d and further strain of this group would likely break the local network continuity. The nanowire networks cannot maintain perfect continuity under strain and some connections Figure 37: Nanowire Transfer to Plastic Substrates a) A capillary-driven transfer process enabled uniform transfer of the nanowires to PDMS over large areas (see inset). b) Adhesive substrates are also capable of uniform transfer over large areas while maintaining the two-dimensional character of the network. c) As the PDMS is strained, nanowires elongate and the network deforms to accommodate each elongation, bringing some wires closer together. d) During biaxial strain, nanowire elongation is emphasized and at high strains, it can be seen that areas where no wires contribute to long-range continuity are pulled apart to allow elongation of nanowires connecting adjacent regions of the network. As seen in the inset, extreme elongation of the nanowires maintains continuity during high strains. that contribute to long-range transport are broken when the network is elongated. The electrical response of a gold nanowire network on measured using silver contacts and a two point probe to uniaxial strain while supported by PDMS is shown in Figure 38a. These networks were strained and then allowed to relax to facilitate the electrical measurement. After low strain, very few connections are broken and the resistance only slightly increases. Predictably, as strain is increased to 0.3 many connections between nanowires are severed and the resistance increases by an order of magnitude. This finding suggests that the pathlength of nanowires between critical network components (i.e. branches) 137

148 does not usually exceed 1.3 times the absolute separation. Once the majority of connections are broken, there is a steady increase in the resistance in response to strains above 0.3, although the networks maintain a small degree of continuity after 1.25 strain. Longer individual nanowire segments are formed at high strain (>0.3) as network components continue to break and accommodate elongation. A fraction of the elongating components have the strength to sever other connections and allow additional elongation, Figure 38: Electrical Response to Strain and Optical Properties a) The transferred networks maintain conductivity when subjected to increasingly large strains. b) The networks possess high transmittance and a LSPR near 550nm after transfer to flexible and stretchable substrates. suggesting that continuity may be preserved at strains beyond the fracture strain of PDMS. The optical properties of the gold wires are shown in Figure 38b. The metallic networks have transmittances of approximately 85% across the visible spectrum and possess a localized surface plasmon resonance (LSPR) with a peak near 550 nm. The nanostructuring of the evaporated gold successfully imparts properties typically characteristic of purely bottom-up synthesized nanomaterials and successfully merges large-scale bottom-up template creation (block copolymer self-assembly) with top-down fabrication, thus enabling the straightforward manufacture of materials with nanoscale properties. 138

149 The dry transfer processes are enabled by undercut etching of a silicon substrate using SF6 plasma, leaving a textured surface that limits the Van der Waals forces binding the network to the substrate. After release, the wires are free to move around the substrate and stresses created during deposition may roll some nanowires away from the surface, as seen in the right side of Figure 39. The selective etching of silicon by SF6 gas suggests that these transfer processes may be utilized for releasing nanowires of arbitrary composition and further enables the creation of stretchable materials Figure 39: Nanowire Undercut Process Stress in the as-deposited nanowire film peels some wires away from the substrate after release and shows the structure of the silicon substrate after network release. Release is achieved using an isotropic SF6 etch. through block copolymer lithography. These results enable entirely new applications for lamellar-forming block copolymers. Beyond the straightforward fabrication of continuous two-dimensional networks of nearly arbitrary composition, we have also demonstrated that the lamellar structure imparts strain accommodation to individual network elements and enables the network itself to accommodate large strains while maintaining continuity. Although we have utilized an inherently ductile material, nano- and microstructured stretchable devices based on brittle materials have been demonstrated and suggest that morphology is primarily responsible for strain accommodation. 33, 34 By combining top-down deposition processes and the unique bottom-up self-assembly processes we have developed, exciting new material combinations may be explored for incorporation in stretchable and flexible devices. 139

150 References 1. Khang, D. Y.; Jiang, H. Q.; Huang, Y.; Rogers, J. A. Science 2006, 311, (5758), Sun, Y.; Choi, W. M.; Jiang, H.; Huang, Y. Y.; Rogers, J. A. Nature Nanotechnology 2006, 1, (3), Lee, J.-Y.; Connor, S. T.; Cui, Y.; Peumans, P. Nano Letters 2008, 8, (2), Kim, D.-H.; Ahn, J.-H.; Choi, W. M.; Kim, H.-S.; Kim, T.-H.; Song, J.; Huang, Y. Y.; Liu, Z.; Lu, C.; Rogers, J. A. Science 2008, 320, (5875), Kim, D.-H.; Choi, W. M.; Ahn, J.-H.; Kim, H.-S.; Song, J.; Huang, Y.; Liu, Z.; Lu, C.; Koh, C. G.; Rogers, J. A. Applied Physics Letters 2008, 93, (4). 6. Rogers, J. A.; Someya, T.; Huang, Y. Science 2010, 327, (5973), Madaria, A. R.; Kumar, A.; Ishikawa, F. N.; Zhou, C. Nano Research 2010, 3, (8), Liu, C.-H.; Yu, X. Nanoscale Research Letters 2011, Akter, T.; Kim, W. S. ACS Applied Materials & Interfaces 2012, 4, (4), Lee, P.; Lee, J.; Lee, H.; Yeo, J.; Hong, S.; Nam, K. H.; Lee, D.; Lee, S. S.; Ko, S. H. Advanced Materials 2012, 24, (25), Xu, F.; Zhu, Y. Advanced Materials 2012, 24, (37), Chen, T. G.; Huang, B. Y.; Liu, H. W.; Huang, Y. Y.; Pan, H. T.; Meng, H. F.; Yu, P. C. ACS Applied Materials & Interfaces 2012, 4, (12), Choi, K. H.; Kim, J.; Noh, Y. J.; Na, S. I.; Kim, H. K. Solar Energy Materials and Solar Cells 2013, 110, Lee, M. S.; Lee, K.; Kim, S. Y.; Lee, H.; Park, J.; Choi, K. H.; Kim, H. K.; Kim, D. G.; Lee, D. Y.; Nam, S.; Park, J. U. Nano Letters 2013, 13, (6), Kim, D.-H.; Liu, Z.; Kim, Y.-S.; Wu, J.; Song, J.; Kim, H.-S.; Huang, Y.; Hwang, K.-c.; Zhang, Y.; Rogers, J. A. Small 2009, 5, (24), Kim, D.-H.; Kim, Y.-S.; Wu, J.; Liu, Z.; Song, J.; Kim, H.-S.; Huang, Y. Y.; Hwang, K.-C.; Rogers, J. A. Advanced Materials 2009, 21, (36), Ko, H. C.; Shin, G.; Wang, S.; Stoykovich, M. P.; Lee, J. W.; Kim, D.-H.; Ha, J. S.; Huang, Y.; Hwang, K.-C.; Rogers, J. A. Small 2009, 5, (23), Viventi, J.; Kim, D.-H.; Vigeland, L.; Frechette, E. S.; Blanco, J. A.; Kim, Y.-S.; Avrin, A. E.; Tiruvadi, V. R.; Hwang, S.-W.; Vanleer, A. C.; Wulsin, D. F.; Davis, K.; Gelber, C. E.; Palmer, L.; Van der Spiegel, J.; Wu, J.; Xiao, J.; Huang, Y.; Contreras, D.; Rogers, J. A.; Litt, B. Nature Neuroscience 2011, 14, (12), 1599-U Kim, D.-H.; Lu, N.; Ghaffari, R.; Kim, Y.-S.; Lee, S. P.; Xu, L.; Wu, J.; Kim, R.-H.; Song, J.; Liu, Z.; Viventi, J.; de Graff, B.; Elolampi, B.; Mansour, M.; Slepian, M. J.; Hwang, S.; Moss, J. D.; Won, S.-M.; Huang, Y.; Litt, B.; Rogers, J. A. Nature Materials 2011, 10, (4), Black, C. T.; Guarini, K. W.; Milkove, K. R.; Baker, S. M.; Russell, T. P.; Tuominen, M. T. Applied Physics Letters 2001, 79, (3), Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Applied Physics Letters 2002, 81, (19), Park, C.; Yoon, J.; Thomas, E. L. Polymer 2003, 44, (22), Hawker, C. J.; Russell, T. P. Mrs Bulletin 2005, 30, (12), Segalman, R. A. Materials Science & Engineering R-Reports 2005, 48, (6),

151 25. Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Advanced Materials 2009, 21, (47), Jung, Y. S.; Chang, J. B.; Verploegen, E.; Berggren, K. K.; Ross, C. A. Nano Letters 2010, 10, (3), Kim, H. C.; Park, S. M.; Hinsberg, W. D. Chemical Reviews 2010, 110, (1), Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45, (3), Disko, M. M.; Liang, K. S.; Behal, S. K.; Roe, R. J.; Jeon, K. J. Macromolecules 1993, 26, (11), Hajduk, D. A.; Harper, P. E.; Gruner, S. M.; Honeker, C. C.; Kim, G.; Thomas, E. L.; Fetters, L. J. Macromolecules 1994, 27, (15), Matsen, M. W. Macromolecules 1995, 28, (17), Kim, J. Y.; Kim, B. H.; Hwang, J. O.; Jeong, S.-J.; Shin, D. O.; Mun, J. H.; Choi, Y. J.; Jin, H. M.; Kim, S. O. Advanced Materials 2013, 25, (9), Park, K.; Lee, D.-K.; Kim, B.-S.; Jeon, H.; Lee, N.-E.; Whang, D.; Lee, H.-J.; Kim, Y. J.; Ahn, J.- H. Advanced Functional Materials 2010, 20, (20), Kim, D.-H.; Ghaffari, R.; Lu, N.; Rogers, J. A. Annual Review of Biomedical Engineering, Vol , 14,

152 Chapter 7 Current Collaborations and Future Directions This chapter presents areas of current research and presents preliminary data related to these projects. These projects include external collaborations and work that will likely be carried on by students currently in the group. Homopolymer Molecular Weight Effects on Lamellar Network Topology We have thus far characterized the effect of a single molecular weight homopolymer on the topology of the lamellar networks; however, homopolymer molecular weight may have a significant effect on the resulting morphologies. The types of defects formed during block copolymer self-assembly are driven by the entropic contribution of each block to the system free energy because the direction of spontaneous interfacial curvature is determined by the number of degrees of freedom afforded to each block. For a neat block copolymer system, the entropy is determined by the degree of polymerization and statistical segment length of each block and is usually approximated using the volume fraction. 1,2 For most systems, this is a good approximation because the statistical segment lengths of each block are nearly identical. The symmetry point of the phase diagram for self-assembly is determined by the statistical segment lengths and is known as the point of zero spontaneous curvature. For the polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) system, the statistical segment lengths of PS and PMMA are 6.8 and 7.4 Å, respectively, and the point of zero spontaneous curvature lies at a nearly symmetric PMMA volume fraction of about ,4 Blending homopolymers with the diblock copolymer greatly complicates the entropic contribution of each block and while volume fraction is still a useful measure for the expected morphology, there are a number of well-known homopolymer effects that may impact the final structure. 5,6 142

153 The distribution of homopolymers within a block copolymer domain depends upon the molecular weight of the homopolymer. 7 The energy of homopolymer interaction with the opposite domain is dependent upon the Flory-Huggins interaction parameter (χ) and the degree of polymerization of the homopolymer (N). Very small homopolymer molecular weights relative to the molecular weight of the block result in interfacial interaction energies below that of the block and homopolymers will have no relative penalty for occupying space near the interface. This leads to an even distribution of homopolymer throughout the domain and very little domain expansion. Without domain expansion the entropic contribution of a block to the system free energy will not increase and the spontaneous direction of interfacial curvature will be unaffected. Without any change in the spontaneous interfacial curvature, the relative populations of each defect type will be unchanged and the network structure will be unaffected by homopolymer blending. Increasing the molecular weight of the blended homopolymer begins to energetically favor homopolymer segregation to the center of a lamellar domain and will increase the entropic contribution of that block to the system free energy, leading to a shift in the spontaneous interfacial curvature. Clearly, the molecular weight of the blended homopolymer will dramatically affect the resulting lamellar topology. Greater molecular weight homopolymers may be able to achieve the same effects on network continuity with much lower homopolymer volume fractions and overall simplify the physics of self-assembly. 8 To explore these effects, PMMA homopolymers with molecular weights of 2k, 20k, 34k, and 100k were blended into PS-b-PMMA (53k:54k) at a weight fraction of 9%. This weight fraction was chosen because it was a sufficient amount to reverse the lamellar continuity in our past studies using PMMA (20k) homopolymer and was sufficiently small to not risk unbinding the interfaces due to lamellar expansion using high molecular weight homopolymer. Solvent annealing 143

154 was used to assemble the lamellae because the resulting morphologies are at their lowest possible energetic state and the defect population is free from defects trapped by kinetic limitations. The preliminary results are shown in Figure 40 and confirm that homopolymer molecular weight plays an important role on the topology of the lamellar networks. Small molecular weight PMMA homopolymer (2k) slightly shifts the continuity of the lamellar networks to nearly bicontinuous whereas 20k, 34k, and 100k molecular weight homopolymers completely reverse the continuity. Increasing the molecular weight of the homopolymer does not significantly impact the resulting network topology, likely because the block maximizes its entropy through domain expansion with relatively small amounts of lamellar expansion. Pure block copolymers more completely reverse Figure 40: Effect of Homopolymer Molecular Weight on Network Topology Domain continuity comparison between low molecular weight (2k) and high molecular weight (20k) copolymer-homopolymer blends. The branch and end point densities as a function of PMMA homopolymer molecular weight are presented for PS-b-PMMA (53k:54K) as PS ( ) and PMMA ( ) domains. The pure block copolymer network data are presented on the right to demonstrate that while homopolymer blending is effective for tuning the continuity, pure block copolymer assembly at a specific composition is much more effective for controlling the network structure. Scale bar corresponds to 1 micron. 144

155 the network structure because the additional chain length more greatly increases the number of conformations of the block (i.e. the entropy) than lamellar expansion. This work will elucidate details on methods for controlling the defect types during block copolymer self-assembly and establishes a more general framework for blending homopolymers into block copolymers in order to shift the network structure. Homopolymers will likely be incorporated into industrial self-assembly process because they are well-known to improve the ordering of block copolymer nanostructures and improved understanding the effects of blending enables the defect engineering for increasingly reliable fabrication. While there is a wellestablished theoretical framework for symmetric homopolymer blending, asymmetric blending to control the network structure is unique to our processes and initial experiments indicate that previously unreported two-phase morphologies can be stabilized. Anti-reflective Silicon Surfaces through Etched Lamellar Nanostructures Surface roughening is well-known to reduce reflectance and in the case of silicon, nanoand micro-structuring is useful for increasing the photovoltaic efficiency through increased absorbance caused by reduced reflection. 9 Anti-reflection (AR) coatings, such as silicon-nitride, are inexpensive alternatives to structuring silicon through multiple processing steps, but the additional film reduces the flexibility of thin film solar cells. 10 Block copolymer self-assembly occurs in parallel over arbitrarily large areas and offers an inexpensive alternative to lithographically patterning large areas to reduce reflectance. Initial simulations of absorbance and reflectance of a silicon chip with block copolymer templated trenches are shown in Figure 41 and indicate that block copolymer patterns are promising for large-scale patterning of black silicon for enhanced solar cell efficiency. Experimental measurements of silicon patterned by block copolymers are shown in Figure 42 indicate that the block copolymer pattern is highly effective at 145

156 reducing reflectance. Furthermore, the single crystalline nature of the silicon is preserved for incorporation into a thin film solar cell and the block copolymer reaches its maximum effectiveness as an AR coating at only 100 nm etch depth (4:1 aspect ratio), limiting the impact on the mechanics. Light is refracted each time it changes transmission medium and the amount of refraction is dependent upon the wavelength of light and the distance traveled through the medium. A patterned high aspect ratio silicon nanostructure with constant periodicity but varying thickness may be effective for engineering spectrally selective AR coatings. Thinner nanostructures refract high wavelength, low energy light to a lesser extent than the low wavelength, high energy light and the low energy photons will reflect at a rate much closer to that of flat silicon. This may be beneficial for reducing the absorbance of photons that only contribute thermal energy to a solar cell and inevitably reduce its efficiency through thermal excitation. Figure 41: Simulation of BCP Pattern AR Structure Nanostructured silicon using lamellar patterns and relief depths of 100 nm may be promising for reducing reflection and increasing photovoltaic efficiency. This simulation shows a dramatic enhancement in absorption with block copolymer patterning, especially at the high energy, low wavelength end of the visible spectrum. 146

157 Beyond the application of these methods for generating inexpensive AR nanostructures, this work will also develop new block copolymer fabrication techniques. Varying the width of the block copolymer mask is a general process with utility for engineering the nanoscale properties of any other material templated through block copolymer lithography. While we used our standard deposition and liftoff processes to pattern chrome or alumina hard masks, research has shown that silicon containing block copolymers can be directly converted into hard mask materials for etching and utilization of these materials for AR nanostructuring may enable extremely rapid fabrication The silicon nanostructures generated remain compatible with standard top-down microfabrcation processes and hierarchical structure patterning has the potential to further improve the AR properties of these devices, leading to greatly enhanced photovoltaic efficiency. Figure 42: Experimental Reflectance Using Block Copolymer Nanostructuring Consistent with the simulations, relief structures etched in the image of the lamellar block copolymer reduced the reflectance of visible light from the surface of silicon. This anti-reflection structure simplifies device fabrication by eliminating the need for persistent coatings and may allow hierarchical patterning of solar cells for greatly reduced reflectance. 147

158 Chemoepitaxy of Randomly Oriented Block Copolymers While we have generated continuous nanostructures utilizing the continuous lamellar networks of block copolymers, the processes developed are only effective with low-aspect ratio (2:1) PS templates. Our work to engineer the defect density and network structure of thermally annealed block copolymer films utilizing the thickness dependent properties of the lamellar networks has therefore been neglected thus far. Thermal annealing is an incredibly reliable process for generating self-assembled structures, has fewer control variables than solvent annealing, and is more compatible with continuous fabrication techniques, however, the low-defect density of solvent annealed structures and the rapid kinetics of defect annihilation also eliminate most of the three-dimensional structures that may undercut deposition processes, whereas these defects are very common after PMMA removal during thermal annealing. Development of thermal annealing processes for templating and engineering continuous nanostructures requires control methods that reduce the tendency to form three-dimensional surface structures and that are unaffected by the tendency for pattern collapse at high aspect ratios. Thus far we have utilized entropic driving forces to control the continuity of lamellar networks and facilitated or inhibited defect annihilation to tune the connectivity, however, enthalpic driving forces are commonly used to direct self-assembly of lamellar-forming block copolymers through chemoepitaxy. Oxygen plasma is commonly used to form preferential surfaces for PMMA self-assembly and has the added benefit of fast diffusion into nanoscopic spaces to react. A multistep self-assembly process incorporating oxygen plasma processing may therefore be useful for creating an ethalpic driving force for self-assembly into a specific pattern. Removal of the PMMA from the initial self-assembly step exposes some areas of neutral brush for 14, 15 modification and subsequent self-assembly steps follow the guiding template of the first step. 148

159 The pattern formed by thermal self-assembly of a thick lamellar-forming film may be transferred into the underlying neutral surface and a second self-assembly step utilizing a thinner film to reduce pattern collapse may allow the fabrication of nanostructured networks with variable connectivity through thermal processing alone. The oxygen plasma may also create an enthalpic penalty for three-dimensional surface structure and reduce the undercut rate normally found in thermally annealed block copolymer samples. This work has the potential to greatly simplify block copolymer nanopatterning by eliminating the use of sometimes unpredictable saturated solvent processing. 16 Processing using thermal annealing processing creates reliable nanopatterns that can be simply incorporated into continuous fabrication processes and the enthalpic penalties for surface structures may improve the connectivity of as-fabricated nanomeshes, leading to increased transport through parallel pathways and amplified robustness imparted by additional redundancy. Stretchable Piezoelectric Nanomeshes The compatibility of top-down fabrication with block copolymer lithography and our demonstrations that otherwise brittle materials can be stretched when patterned using block copolymers enables the creation of stretchable and flexible devices with novel compositions. Piezoelectric materials (such as ZnO) generate voltage in response to stress but are generally crystalline and have low fracture strains, limiting their incorporation into stretchable devices. By combining top-down deposition of piezoelectric materials and block copolymer patterning, it may be possible to generate highly stretchable piezoelectric materials that can be incorporated into fabrics or other common materials. By harvesting the voltage created during everyday motion (mechanical, vibrational, etc.), the piezoelectric elements in the fabric may be used to power 17, 18 stretchable electronic devices that function in any environment. 149

160 References 1. Matsen, M. W.; Bates, F. S. Macromolecules 1996, 29, (4), Matsen, M. W.; Bates, F. S. Journal of Chemical Physics 1997, 106, (6), Matsen, M. W.; Bates, F. S. Journal of Polymer Science Part B-Polymer Physics 1997, 35, (6), Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45, (3), Matsen, M. W. Macromolecules 1995, 28, (17), Matsen, M. W. Physical Review Letters 1995, 74, (21), Broseta, D.; Fredrickson, G. H. Journal of Chemical Physics 1990, 93, (4), Liu, G. L.; Stoykovich, M. P.; Ji, S. X.; Stuen, K. O.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2009, 42, (8), Chattopadhyay, S.; Huang, Y. F.; Jen, Y. J.; Ganguly, A.; Chen, K. H.; Chen, L. C. Materials Science & Engineering R-Reports 2010, 69, (1-3), Nagel, H.; Aberle, A. G.; Hezel, R. Progress in Photovoltaics 1999, 7, (4), Sundstrom, L.; Krupp, L.; Delenia, E.; Rettner, C.; Sanchez, M.; Hart, M. W.; Kim, H. C.; Zhang, Y. Applied Physics Letters 2006, 88, (24). 12. Chao, C. C.; Wang, T. C.; Ho, R. M.; Georgopanos, P.; Avgeropoulos, A.; Thomas, E. L. ACS Nano 2010, 4, (4), Ku, S. J.; Kim, S. M.; Bak, C. H.; Kim, J.-B. Polymer 2011, 52, (1), Jeong, S. J.; Kim, J. E.; Moon, H. S.; Kim, B. H.; Kim, S. M.; Kim, J. B.; Kim, S. O. Nano Letters 2009, 9, (6), Jeong, S. J.; Moon, H. S.; Kim, B. H.; Kim, J. Y.; Yu, J.; Lee, S.; Lee, M. G.; Choi, H.; Kim, S. O. Acs Nano 2010, 4, (9), Jung, Y. S.; Ross, C. A. Advanced Materials 2009, 21, (24), Wang, Z. L.; Song, J. H. Science 2006, 312, (5771), Park, K.; Lee, D.-K.; Kim, B.-S.; Jeon, H.; Lee, N.-E.; Whang, D.; Lee, H.-J.; Kim, Y. J.; Ahn, J.- H. Advanced Functional Materials 2010, 20, (20),

161 Bibliography 1. Aizawa, M.; Buriak, J. M. Journal of the American Chemical Society 2006, 128, (17), Aizawa, M.; Buriak, J. M. Chemistry of Materials 2007, 19, (21), Akter, T.; Kim, W. S. ACS Applied Materials & Interfaces 2012, 4, (4), Alam, M. M.; Kim, J. Y.; Jung, W. G. Thin Solid Films 2012, 520, (16), Albert, J. N. L.; Epps, T. H. Materials Today 2010, 13, (6), Albert, J. N. L.; Bogart, T. D.; Lewis, R. L.; Beers, K. L.; Fasolka, M. J.; Hutchison, J. B.; Vogt, B. D.; Epps, T. H., III. Nano Letters 2011, 11, (3), Albert, J. N. L.; Young, W.-S.; Lewis, R. L., III; Bogart, T. D.; Smith, J. R.; Epps, T. H., III. ACS Nano2012, 6, (1), Alem, S.; de Bettignies, R.; Nunzi, J. M.; Cariou, M. Applied Physics Letters 2004, 84, (12), Almdal, K.; Koppi, K. A.; Bates, F. S.; Mortensen, K. Macromolecules 1992, 25, (6), Amundson, K.; Helfand, E.; Quan, X. N.; Hudson, S. D.; Smith, S. D. Macromolecules 1994, 27, (22). 11. Angelescu, D. E.; Waller, J. H.; Register, R. A.; Chaikin, P. M. Advanced Materials 2005, 17, (15), Anker, J. N.; Hall, W. P.; Lyandres, O.; Shah, N. C.; Zhao, J.; Van Duyne, R. P. Nature Materials 2008, 7, (6), Bang, J.; Jeong, U.; Ryu, D. Y.; Russell, T. P.; Hawker, C. J. Advanced Materials 2009, 21, (47), Bang, J.; Kim, S. H.; Drockenmuller, E.; Misner, M. J.; Russell, T. P.; Hawker, C. J. Journal of the American Chemical Society 2006, 128, (23), Barrat, J. L.; Fredrickson, G. H. Macromolecules 1991, 24, (24), Bates, F. S. Science 1991, 251, (4996), Bates, F. S.; Fredrickson, G. H. Annual Review of Physical Chemistry 1990, 41,

162 18. Bates, F. S.; Schulz, M. F.; Khandpur, A. K.; Forster, S.; Rosedale, J. H.; Almdal, K.; Mortensen, K.Faraday Discussions 1994, 98, Berry, B. C.; Bosse, A. W.; Douglas, J. F.; Jones, R. L.; Karim, A. Nano Letters 2007, 7, (9), Berry, B. C.; Singh, G.; Kim, H.-C.; Karim, A. Acs Macro Letters 2013, 2, (4), Bita, I.; Yang, J. K. W.; Jung, Y. S.; Ross, C. A.; Thomas, E. L.; Berggren, K. K. Science 2008, 321, (5891), Black, C. T. ACS Nano 2007, 1, (3), Black, C. T.; Guarini, K. W.; Milkove, K. R.; Baker, S. M.; Russell, T. P.; Tuominen, M. T. Applied Physics Letters 2001, 79, (3), Black, C. T.; Ruiz, R.; Breyta, G.; Cheng, J. Y.; Colburn, M. E.; Guarini, K. W.; Kim, H. C.; Zhang, Y. IBM Journal of Research and Development 2007, 51, (5), Bockstaller, M. R.; Thomas, E. L. Journal of Physical Chemistry B 2003, 107, (37), Brannon-Peppas, L.; Blanchette, J. O. Advanced Drug Delivery Reviews 2004, 56, (11), Broseta, D.; Fredrickson, G. H. Journal of Chemical Physics 1990, 93, (4), Campbell, I. P.; Lau, G. J.; Feaver, J. L.; Stoykovich, M. P. Macromolecules 2012, 45, (3), Cao, Q.; Kim, H.-s.; Pimparkar, N.; Kulkarni, J. P.; Wang, C.; Shim, M.; Roy, K.; Alam, M. A.; Rogers, J. A.Nature 2008, 454, (7203), 495-U Cavicchi, K. A.; Berthiaume, K. J.; Russell, T. P. Polymer 2005, 46, (25), Cavicchi, K. A.; Russell, T. P. Macromolecules 2007, 40, (4), Chai, J.; Wang, D.; Fan, X. N.; Buriak, J. M. Nature Nanotechnology 2007, 2, (8), Chai, J.; Buriak, J. M. ACS Nano 2008, 2, (3), Chao, C. C.; Wang, T. C.; Ho, R. M.; Georgopanos, P.; Avgeropoulos, A.; Thomas, E. L. ACS Nano 2010,4, (4), Chattopadhyay, S.; Huang, Y. F.; Jen, Y. J.; Ganguly, A.; Chen, K. H.; Chen, L. C. Materials Science & Engineering R-Reports 2010, 69, (1-3),

163 36. Chen, F.; Akasaka, S.; Inoue, T.; Takenaka, M.; Hasegawa, H.; Yoshida, H. Macromolecular Rapid Communications 2007, 28, (22), Chen, J.; Wang, D.; Xi, J.; Au, L.; Siekkinen, A.; Warsen, A.; Li, Z.-Y.; Zhang, H.; Xia, Y.; Li, X. Nano Letters 2007, 7, (5), Chen, J.; Wang, D.; Xi, J.; Au, L.; Siekkinen, A.; Warsen, A.; Li, Z.-Y.; Zhang, H.; Xia, Y.; Li, X. Nano Letters 2007, 7, (5), Chen, T. G.; Huang, B. Y.; Liu, H. W.; Huang, Y. Y.; Pan, H. T.; Meng, H. F.; Yu, P. C. Acs Applied Materials & Interfaces 2012, 4, (12), Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; Kim, H. C.; Hinsberg, W. D. Advanced Materials 2008, 20, (16), Cheng, J. Y.; Ross, C. A.; Chan, V. Z. H.; Thomas, E. L.; Lammertink, R. G. H.; Vancso, G. J. Advanced Materials 2001, 13, (15), Cheng, J. Y.; Ross, C. A.; Thomas, E. L.; Smith, H. I.; Vancso, G. J. Applied Physics Letters 2002, 81, (19), Choi, K. H.; Kim, J.; Noh, Y. J.; Na, S. I.; Kim, H. K. Solar Energy Materials and Solar Cells 2013, 110, Chow, T. S. Macromolecules 1980, 13, (2), Craig, G. S. W.; Nealey, P. F. Journal of Photopolymer Science and Technology 2007, 20, (4), Daga, V. K.; Schwartz, E. L.; Chandler, C. M.; Lee, J. K.; Lin, Y.; Ober, C. K.; Watkins, J. J. Nano Letters2011, 11, (3), Daoulas, K. C.; Muller, M.; Stoykovich, M. P.; Papakonstantopoulos, Y. J.; De Pablo, J. J.; Nealey, P. F.; Park, S. M.; Solak, H. H. Journal of Polymer Science Part B-Polymer Physics 2006, 44, (18), Darling, S. B. Energy & Environmental Science 2009, 2, (12), Delacruz, M. O.; Mayes, A. M.; Swift, B. W. Macromolecules 1992, 25, (2), Detcheverry, F. A.; Liu, G. L.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43, (7), Detcheverry, F. A.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2010, 43, (15),

164 52. Di, Z.; Posselt, D.; Smilgies, D.-M.; Papadakis, C. M. Macromolecules 2010, 43, (1), Dickerson, E. B.; Dreaden, E. C.; Huang, X.; El-Sayed, I. H.; Chu, H.; Pushpanketh, S.; McDonald, J. F.; El-Sayed, M. A. Cancer Letters 2008, 269, (1), Disko, M. M.; Liang, K. S.; Behal, S. K.; Roe, R. J.; Jeon, K. J. Macromolecules 1993, 26, (11), Kim, E.; Ahn, H.; Park, S.; Lee, H.; Lee, M.; Lee, S.; Kim, T.; Kwak, E.-A.; Lee, J. H.; Lei, X.; Huh, J.; Bang, J.; Lee, B.; Ryu, D. Y. ACS Nano 2013, 7, (3), Fasolka, M. J.; Mayes, A. M. Annual Review of Materials Research 2001, 31, Fink, Y.; Urbas, A. M.; Bawendi, M. G.; Joannopoulos, J. D.; Thomas, E. L. Journal of Lightwave Technology 1999, 17, (11), Fitzgerald, T. G.; Farrell, R. A.; Petkov, N.; Bolger, C. T.; Shaw, M. T.; Charpin, J. P. F.; Gleeson, J. P.; Holmes, J. D.; Morris, M. A. Langmuir 2009, 25, (23), Fredrickson, G. H. Macromolecules 1991, 24, (11), Fredrickson, G. H.; Bates, F. S. Annual Review of Materials Science 1996, 26, Fryer, D. S.; Peters, R. D.; Kim, E. J.; Tomaszewski, J. E.; de Pablo, J. J.; Nealey, P. F.; White, C. C.; Wu, W. L. Macromolecules 2001, 34, (16), Fukunaga, K.; Elbs, H.; Magerle, R.; Krausch, G. Macromolecules 2000, 33, (3), Gao, X. H.; Cui, Y. Y.; Levenson, R. M.; Chung, L. W. K.; Nie, S. M. Nature Biotechnology 2004, 22, (8), Gido, S. P.; Gunther, J.; Thomas, E. L.; Hoffman, D. Macromolecules 1993, 26, (17), Gido, S. P.; Thomas, E. L. Macromolecules 1994, 27, (3), Gido, S. P.; Thomas, E. L. Macromolecules 1994, 27, (21), Gido, S. P.; Thomas, E. L. Macromolecules 1997, 30, (13), Gotrik, K. W.; Hannon, A. F.; Son, J. G.; Keller, B.; Alexander-Katz, A.; Ross, C. A. Acs Nano 2012, 6, (9), Gowrishankar, V.; Miller, N.; McGehee, M. D.; Misner, M. J.; Ryu, D. Y.; Russell, T. P.; Drockenmuller, E.; Hawker, C. J. Thin Solid Films 2006, 513, (1-2),

165 70. Gu, X.; Liu, Z.; Gunkel, I.; Chourou, S. T.; Hong, S. W.; Olynick, D. L.; Russell, T. P. Advanced Materials 2012, 24, (42), Guarini, K. W.; Black, C. T.; Yeuing, S. H. I. Advanced Materials 2002, 14, (18), Guo, R.; Huang, H.; Chen, Y.; Gong, Y.; Du, B.; He, T. Macromolecules 2008, 41, (3), Haes, A. J.; Van Duyne, R. P. Journal of the American Chemical Society 2002, 124, (35), Hahm, J.; Lopes, W. A.; Jaeger, H. M.; Sibener, S. J. Journal of Chemical Physics 1998, 109, (23), Hajduk, D. A.; Harper, P. E.; Gruner, S. M.; Honeker, C. C.; Kim, G.; Thomas, E. L.; Fetters, L. J. Macromolecules 1994, 27, (15), Hajduk, D. A.; Takenouchi, H.; Hillmyer, M. A.; Bates, F. S.; Vigild, M. E.; Almdal, K. Macromolecules1997, 30, (13), Ham, S.; Shin, C.; Kim, E.; Ryu, D. Y.; Jeong, U.; Russell, T. P.; Hawker, C. J. Macromolecules 2008, 41, (17), Hamley, I. W. Progress in Polymer Science 2009, 34, (11), Han, E.; Kang, H. M.; Liu, C. C.; Nealey, P. F.; Gopalan, P. Advanced Materials 2010, 22, (38), Han, E.; Stuen, K. O.; La, Y. H.; Nealey, P. F.; Gopalan, P. Macromolecules 2008, 41, (23), Han, E.; Stuen, K. O.; Leolukman, M.; Liu, C. C.; Nealey, P. F.; Gopalan, P. Macromolecules 2009, 42, (13), Hannon, A. F.; Gotrik, K. W.; Ross, C. A.; Alexander-Katz, A. ACS Macro Letters 2013, 2, (3), Harrison, C.; Adamson, D. H.; Cheng, Z. D.; Sebastian, J. M.; Sethuraman, S.; Huse, D. A.; Register, R. A.; Chaikin, P. M. Science 2000, 290, (5496). 84. Hawker, C. J.; Russell, T. P. MRS Bulletin 2005, 30, (12), Heidel, T. D.; Mapel, J. K.; Singh, M.; Celebi, K.; Baldo, M. A. Applied Physics Letters 2007, 91, (9). 155

166 86. Helfand, E.; Tagami, Y. Journal of Chemical Physics 1972, 56, (7), 3592-&. 87. Ho, R. M.; Tseng, W. H.; Fan, H. W.; Chiang, Y. W.; Lin, C. C.; Ko, B. T.; Huang, B. H. Polymer 2005, 46, (22), Hong, A. J.; Liu, C. C.; Wang, Y.; Kim, J.; Xiu, F. X.; Ji, S. X.; Zou, J.; Nealey, P. F.; Wang, K. L. Nano Letters 2010, 10, (1), Hoppe, H.; Sariciftci, N. S. Journal of Materials Research 2004, 19, (7), Hoppe, H.; Sariciftci, N. S. Journal of Materials Chemistry 2006, 16, (1), Hu, L.; Hecht, D. S.; Gruner, G. Nano Letters 2004, 4, (12), Huang, E.; Mansky, P.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 2000, 33, (1), Huang, E.; Russell, T. P.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Hawker, C. J.; Mays, J. Macromolecules 1998, 31, (22), Husseman, M.; Malmstrom, E. E.; McNamara, M.; Mate, M.; Mecerreyes, D.; Benoit, D. G.; Hedrick, J. L.; Mansky, P.; Huang, E.; Russell, T. P.; Hawker, C. J. Macromolecules 1999, 32, (5), Hutter, E.; Fendler, J. H. Advanced Materials 2004, 16, (19), In, I.; La, Y. H.; Park, S. M.; Nealey, P. F.; Gopalan, P. Langmuir 2006, 22, (18), Jackson, E. A.; Hillmyer, M. A. Acs Nano 2010, 4, (7), Jain, P. K.; Huang, X.; El-Sayed, I. H.; El-Sayed, M. A. Accounts of Chemical Research 2008, 41, (12), Jeong, J. W.; Park, W. I.; Kim, M. J.; Ross, C. A.; Jung, Y. S. Nano Letters 2011, 11, (10), Jeong, S. J.; Kim, J. E.; Moon, H. S.; Kim, B. H.; Kim, S. M.; Kim, J. B.; Kim, S. O. Nano Letters 2009, 9, (6), Jeong, S. J.; Moon, H. S.; Kim, B. H.; Kim, J. Y.; Yu, J.; Lee, S.; Lee, M. G.; Choi, H.; Kim, S. O. ACS Nano 2010, 4, (9), Jeong, S. J.; Moon, H. S.; Shin, J.; Kim, B. H.; Shin, D. O.; Kim, J. Y.; Lee, Y. H.; Kim, J. U.; Kim, S. O. Nano Letters 2010, 10, (9),

167 103. Jeong, S. J.; Xia, G. D.; Kim, B. H.; Shin, D. O.; Kwon, S. H.; Kang, S. W.; Kim, S. O. Advanced Materials 2008, 20, (10), Jeong, U. Y.; Ryu, D. Y.; Kim, J. K.; Kim, D. H.; Wu, X. D.; Russell, T. P. Macromolecules 2003, 36, (26), Jha, A. K.; Chen, L.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science 2011, 373, (1-2), Jha, A. K.; Tsang, S. L.; Ozcam, A. E.; Offeman, R. D.; Balsara, N. P. Journal of Membrane Science2012, 401, Ji, S. X.; Liu, C. C.; Liao, W.; Fenske, A. L.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2011, 44, (11), Ji, S.; Liu, C. C.; Son, J. G.; Gotrik, K.; Craig, G. S. W.; Gopalan, P.; Himpsel, F. J.; Char, K.; Nealey, P. F. Macromolecules 2008, 41, (23), Jung, Y. S.; Chang, J. B.; Verploegen, E.; Berggren, K. K.; Ross, C. A. Nano Letters 2010, 10, (3), Jung, Y. S.; Jung, W.; Ross, C. A. Nano Letters 2008, 8, (9), Jung, Y. S.; Lee, J. H.; Lee, J. Y.; Ross, C. A. Nano Letters 2010, 10, (9), Jung, Y. S.; Ross, C. A. Nano Letters 2007, 7, (7), Jung, Y. S.; Ross, C. A. Advanced Materials 2009, 21, (24), Kang, H. M.; Detcheverry, F.; Stuen, K. O.; Craig, G. S. W.; de Pablo, J. J.; Gopalan, P.; Nealey, P. F.Journal of Vacuum Science & Technology B 2010, 28, (6), C6B24-C6B Khandpur, A. K.; Forster, S.; Bates, F. S.; Hamley, I. W.; Ryan, A. J.; Bras, W.; Almdal, K.; Mortensen, K. Macromolecules 1995, 28, (26), Khang, D. Y.; Jiang, H. Q.; Huang, Y.; Rogers, J. A. Science 2006, 311, (5758), Kim, B. H.; Shin, D. O.; Jeong, S. J.; Koo, C. M.; Jeon, S. C.; Hwang, W. J.; Lee, S.; Lee, M. G.; Kim, S. O. Advanced Materials 2008, 20, (12), Kim, B.; Hong, S. W.; Park, S.; Xu, J.; Hong, S.-K.; Russell, T. P. Soft Matter 2011, 7, (2), Kim, D.-H.; Ahn, J.-H.; Choi, W. M.; Kim, H.-S.; Kim, T.-H.; Song, J.; Huang, Y. Y.; Liu, Z.; Lu, C.; Rogers, J. A. Science 2008, 320, (5875),

168 120. Kim, D.-H.; Choi, W. M.; Ahn, J.-H.; Kim, H.-S.; Song, J.; Huang, Y.; Liu, Z.; Lu, C.; Koh, C. G.; Rogers, J. A. Applied Physics Letters 2008, 93, (4) Kim, D.-H.; Ghaffari, R.; Lu, N.; Rogers, J. A. Annual Review of Biomedical Engineering, Vol ,14, Kim, D.-H.; Kim, Y.-S.; Wu, J.; Liu, Z.; Song, J.; Kim, H.-S.; Huang, Y. Y.; Hwang, K.- C.; Rogers, J. A.Advanced Materials 2009, 21, (36), Kim, D.-H.; Liu, Z.; Kim, Y.-S.; Wu, J.; Song, J.; Kim, H.-S.; Huang, Y.; Hwang, K.-c.; Zhang, Y.; Rogers, J. A. Small 2009, 5, (24), Kim, D.-H.; Lu, N.; Ghaffari, R.; Kim, Y.-S.; Lee, S. P.; Xu, L.; Wu, J.; Kim, R.-H.; Song, J.; Liu, Z.; Viventi, J.; de Graff, B.; Elolampi, B.; Mansour, M.; Slepian, M. J.; Hwang, S.; Moss, J. D.; Won, S.-M.; Huang, Y.; Litt, B.; Rogers, J. A. Nature Materials 2011, 10, (4), Kim, H. C.; Hinsberg, W. D. Journal of Vacuum Science & Technology A 2008, 26, (6), Kim, H. C.; Park, S. M.; Hinsberg, W. D. Chemical Reviews 2010, 110, (1), Kim, J. Y.; Kim, B. H.; Hwang, J. O.; Jeong, S.-J.; Shin, D. O.; Mun, J. H.; Choi, Y. J.; Jin, H. M.; Kim, S. O. Advanced Materials 2013, 25, (9), Kim, S. H.; Misner, M. J.; Russell, T. P. Advanced Materials 2004, 16, (23-24), Kim, S. H.; Misner, M. J.; Xu, T.; Kimura, M.; Russell, T. P. Advanced Materials 2004, 16, (3), Kim, S. O.; Kim, B. H.; Kim, K.; Koo, C. M.; Stoykovich, M. P.; Nealey, P. F.; Solak, H. H.Macromolecules 2006, 39, (16), Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; Ferrier, N. J.; de Pablo, J. J.; Nealey, P. F. Nature 2003, 424, (6947), Ko, H. C.; Shin, G.; Wang, S.; Stoykovich, M. P.; Lee, J. W.; Kim, D.-H.; Ha, J. S.; Huang, Y.; Hwang, K.-C.; Rogers, J. A. Small 2009, 5, (23), Kocabas, C.; Hur, S. H.; Gaur, A.; Meitl, M. A.; Shim, M.; Rogers, J. A. Small 2005, 1, (11), Kocabas, C.; Pimparkar, N.; Yesilyurt, O.; Kang, S. J.; Alam, M. A.; Rogers, J. A. Nano Letters 2007, 7, (5),

169 135. Koppi, K. A.; Tirrell, M.; Bates, F. S.; Almdal, K.; Colby, R. H. Journal De Physique Ii 1992, 2, (11), Korczagin, I.; Hempenius, M. A.; Fokkink, R. G.; Stuart, M. A. C.; Al-Hussein, M.; Bomans, P. H. H.; Frederik, P. M.; Vancso, G. J. Macromolecules 2006, 39, (6), Krausch, G. Materials Science & Engineering R-Reports 1995, 14, (1-2), Ku, S. J.; Kim, S. M.; Bak, C. H.; Kim, J.-B. Polymer 2011, 52, (1), Lee, D. H.; Cho, H.; Yoo, S.; Park, S. Journal of Colloid and Interface Science 2012, 383, Lee, J.-Y.; Connor, S. T.; Cui, Y.; Peumans, P. Nano Letters 2008, 8, (2), Lee, M. S.; Lee, K.; Kim, S. Y.; Lee, H.; Park, J.; Choi, K. H.; Kim, H. K.; Kim, D. G.; Lee, D. Y.; Nam, S.; Park, J. U. Nano Letters 2013, 13, (6), Lee, P.; Lee, J.; Lee, H.; Yeo, J.; Hong, S.; Nam, K. H.; Lee, D.; Lee, S. S.; Ko, S. H. Advanced Materials2012, 24, (25), Leibler, L. Macromolecules 1980, 13, (6), Li, G.; Shrotriya, V.; Huang, J. S.; Yao, Y.; Moriarty, T.; Emery, K.; Yang, Y. Nature Materials 2005, 4, (11), Li, W. H.; Qiu, F.; Yang, Y. L.; Shi, A. C. Macromolecules 2010, 43, (3), Likhtman, A. E.; Semenov, A. N. Macromolecules 1997, 30, (23), Lin, Y.; Wei, Q.; Qian, G.; Yao, L.; Watkins, J. J. Macromolecules 2012, 45, (21), Lindquist, N. C.; Luhman, W. A.; Oh, S.-H.; Holmes, R. J. Applied Physics Letters 2008, 93, (12) Link, S.; El-Sayed, M. A. International Reviews in Physical Chemistry 2000, 19, (3), Liu, C. C.; Nealey, P. F.; Raub, A. K.; Hakeem, P. J.; Brueck, S. R. J.; Han, E.; Gopalan, P. Journal of Vacuum Science & Technology B 2010, 28, (6), C6B30-C6B Liu, C. C.; Nealey, P. F.; Ting, Y. H.; Wendt, A. E. Journal of Vacuum Science & Technology B 2007, 25, (6), Liu, C.-H.; Yu, X. Nanoscale Research Letters 2011,

170 153. Liu, G. L.; Stoykovich, M. P.; Ji, S. X.; Stuen, K. O.; Craig, G. S. W.; Nealey, P. F. Macromolecules 2009,42, (8), Liu, G. L.; Thomas, C. S.; Craig, G. S. W.; Nealey, P. F. Advanced Functional Materials 2010, 20, (8), Liu, G.; Ramirez-Hernandez, A.; Yoshida, H.; Nygard, K.; Satapathy, D. K.; Bunk, O.; de Pablo, J. J.; Nealey, P. F. Physical Review Letters 2012, 108, (6) Loo, C.; Lin, A.; Hirsch, L.; Lee, M. H.; Barton, J.; Halas, N. J.; West, J.; Drezek, R. Technology in Cancer Research & Treatment 2004, 3, (1), Madaria, A. R.; Kumar, A.; Ishikawa, F. N.; Zhou, C. Nano Research 2010, 3, (8), Mansky, P.; Liu, Y.; Huang, E.; Russell, T. P.; Hawker, C. J. Science 1997, 275, (5305), Marencic, A. P.; Register, R. A., Controlling Order in Block Copolymer Thin Films for Nanopatterning Applications. In Annual Review of Chemical and Biomolecular Engineering, Vol 1, 2010; Vol. 1, pp Marencic, A. P.; Wu, M. W.; Register, R. A.; Chaikin, P. M. Macromolecules 2007, 40, (20), Matsen, M. W. Macromolecules 1995, 28, (17), Matsen, M. W. Physical Review Letters 1995, 74, (21), Matsen, M. W. Journal of Physics-Condensed Matter 2002, 14, (2), R21-R Matsen, M. W.; Bates, F. S. Macromolecules 1996, 29, (4), Matsen, M. W.; Bates, F. S. Journal of Chemical Physics 1997, 106, (6), Matsen, M. W.; Bates, F. S. Journal of Polymer Science Part B-Polymer Physics 1997, 35, (6), Maurer, W. W.; Bates, F. S.; Lodge, T. P.; Almdal, K.; Mortensen, K.; Fredrickson, G. H. Journal of Chemical Physics 1998, 108, (7), Menke, E. J.; Thompson, M. A.; Xiang, C.; Yang, L. C.; Penner, R. M. Nature Materials 2006, 5, (11), Milner, S. T.; Olmsted, P. D. Journal De Physique Ii 1997, 7, (2),

171 170. Mishra, V.; Fredrickson, G. H.; Kramer, E. J. Acs Nano 2012, 6, (3), Mock, J. J.; Barbic, M.; Smith, D. R.; Schultz, D. A.; Schultz, S. Journal of Chemical Physics 2002, 116, (15), Mock, J. J.; Smith, D. R.; Schultz, S. Nano Letters 2003, 3, (4), Morfa, A. J.; Rowlen, K. L.; Reilly, T. H., III; Romero, M. J.; van de lagemaat, J. Applied Physics Letters2008, 92, (1) Morkved, T. L.; Lu, M.; Urbas, A. M.; Ehrichs, E. E.; Jaeger, H. M.; Mansky, P.; Russell, T. P. Science1996, 273, (5277), Nagel, H.; Aberle, A. G.; Hezel, R. Progress in Photovoltaics 1999, 7, (4), Nagpal, U.; Muller, M.; Nealey, P. F.; de Pablo, J. J. ACS Macro Letters 2012, 1, (3), Nakayama, K.; Tanabe, K.; Atwater, H. A. Applied Physics Letters 2008, 93, (12) Nealey, P. F. Abstracts of Papers of the American Chemical Society 2006, 231, 57-COLL Nirmalraj, P. N.; Bellew, A. T.; Bell, A. P.; Fairfield, J. A.; McCarthy, E. K.; O'Kelly, C.; Pereira, L. F. C.; Sorel, S.; Morosan, D.; Coleman, J. N.; Ferreira, M. S.; Boland, J. J. Nano Letters 2012, 12, (11), Odani, H.; Kida, S.; Tamura, M. Bulletin of the Chemical Society of Japan 1966, 39, (11), 2378-& Ohta, T.; Kawasaki, K. Macromolecules 1986, 19, (10), Osuji, C.; Ferreira, P. J.; Mao, G. P.; Ober, C. K.; Vander Sande, J. B.; Thomas, E. L. Macromolecules2004, 37, (26), Paik, M. Y.; Bosworth, J. K.; Smilges, D.-M.; Schwartz, E. L.; Andre, X.; Ober, C. K. Macromolecules2010, 43, (9), Panday, A.; Mullin, S.; Gomez, E. D.; Wanakule, N.; Chen, V. L.; Hexemer, A.; Pople, J.; Balsara, N. P. Macromolecules 2009, 42, (13), Park, C.; Yoon, J.; Thomas, E. L. Polymer 2003, 44, (22), Park, K.; Lee, D.-K.; Kim, B.-S.; Jeon, H.; Lee, N.-E.; Whang, D.; Lee, H.-J.; Kim, Y. J.; Ahn, J.-H. Advanced Functional Materials 2010, 20, (20),

172 187. Park, M.; Harrison, C.; Chaikin, P. M.; Register, R. A.; Adamson, D. H. Science 1997, 276, (5317), Park, O.-H.; Cheng, J. Y.; Hart, M. W.; Topuria, T.; Rice, P. M.; Krupp, L. E.; Miller, R. D.; Ito, H.; Kim, H.-C. Advanced Materials 2008, 20, (4) Park, S. M.; Rettner, C. T.; Pitera, J. W.; Kim, H. C. Macromolecules 2009, 42, (15), Park, S. M.; Stoykovich, M. P.; Ruiz, R.; Zhang, Y.; Black, C. T.; Nealey, P. E. Advanced Materials 2007,19, (4), Park, S.; Lee, D. H.; Xu, J.; Kim, B.; Hong, S. W.; Jeong, U.; Xu, T.; Russell, T. P. Science 2009, 323, (5917), Parnell, A. J.; Pryke, A.; Mykhaylyk, O. O.; Howse, J. R.; Adawi, A. M.; Terrill, N. J.; Fairclough, J. P. A.Soft Matter 2011, 7, (8), Phillip, W. A.; Hillmyer, M. A.; Cussler, E. L. Macromolecules 2010, 43, (18), Phillip, W. A.; O'Neill, B.; Rodwogin, M.; Hillmyer, M. A.; Cussler, E. L. Acs Applied Materials & Interfaces 2010, 2, (3), Phillip, W. A.; Rzayev, J.; Hillmyer, M. A.; Cussler, E. L. Journal of Membrane Science 2006, 286, (1-2), Qiao, L.; Wang, D.; Zuo, L.; Ye, Y.; Qian, J.; Chen, H.; He, S. Applied Energy 2011, 88, (3), Ramirez-Hernandez, A.; Liu, G.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2012, 45, (5), Ren, G.; Wu, P.-T.; Jenekhe, S. A. Acs Nano 2011, 5, (1), Rogers, J. A.; Someya, T.; Huang, Y. Science 2010, 327, (5973), Rose, F.; Bosworth, J. K.; Dobisz, E. A.; Ruiz, R. Nanotechnology 2011, 22, (3), Ross, C. Annual Review of Materials Research 2001, 31, Ross, C. A.; Cheng, J. Y. MRS Bulletin 2008, 33, (9), Ruiz, R.; Kang, H. M.; Detcheverry, F. A.; Dobisz, E.; Kercher, D. S.; Albrecht, T. R.; de Pablo, J. J.; Nealey, P. F. Science 2008, 321, (5891),

173 204. Ruiz, R.; Sandstrom, R. L.; Black, C. T. Advanced Materials 2007, 19, (4), Russell, T. P.; Hjelm, R. P.; Seeger, P. A. Macromolecules 1990, 23, (3), Ryu, D. Y.; Shin, K.; Drockenmuller, E.; Hawker, C. J.; Russell, T. P. Science 2005, 308, (5719), Safran, S. A. Advances in Physics 1999, 48, (4), Schaadt, D. M.; Feng, B.; Yu, E. T. Applied Physics Letters 2005, 86, (6) Schaffer, E.; Thurn-Albrecht, T.; Russell, T. P.; Steiner, U. Nature 2000, 403, (6772), Schider, G.; Krenn, J. R.; Gotschy, W.; Lamprecht, B.; Ditlbacher, H.; Leitner, A.; Aussenegg, F. R.Journal of Applied Physics 2001, 90, (8), Schneider, C. A.; Rasband, W. S.; Eliceiri, K. W. Nature Methods 2012, 9, (7) Segalman, R. A. Materials Science & Engineering R-Reports 2005, 48, (6), Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Advanced Materials 2001, 13, (15), Semenov, A. N. Zhurnal Eksperimentalnoi I Teoreticheskoi Fiziki 1985, 88, (4), Shin, D. O.; Jeong, J.-R.; Han, T. H.; Koo, C. M.; Park, H.-J.; Lim, Y. T.; Kim, S. O. Journal of Materials Chemistry 2010, 20, (34), Singh, M.; Odusanya, O.; Wilmes, G. M.; Eitouni, H. B.; Gomez, E. D.; Patel, A. J.; Chen, V. L.; Park, M. J.; Fragouli, P.; Iatrou, H.; Hadjichristidis, N.; Cookson, D.; Balsara, N. P. Macromolecules 2007, 40, (13), Slota, J. E.; He, X.; Huck, W. T. S. Nano Today 2010, 5, (3), Stewart, M. E.; Anderton, C. R.; Thompson, L. B.; Maria, J.; Gray, S. K.; Rogers, J. A.; Nuzzo, R. G.Chemical Reviews 2008, 108, (2), Stoykovich, M. P.; Daoulas, K. C.; Muller, M.; Kang, H. M.; de Pablo, J. J.; Nealey, P. F. Macromolecules2010, 43, (5), Stoykovich, M. P.; Edwards, E. W.; Solak, H. H.; Nealey, P. F. Physical Review Letters 2006, 97, (14). 163

174 221. Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; Liu, G.; Liu, C. C.; de Pablo, J. J.; Mueller, M.; Nealey, P. F.ACS Nano 2007, 1, (3), Stoykovich, M. P.; Muller, M.; Kim, S. O.; Solak, H. H.; Edwards, E. W.; de Pablo, J. J.; Nealey, P. F.Science 2005, 308, (5727), Stoykovich, M. P.; Nealey, P. F. Materials Today 2006, 9, (9), Stuen, K. O.; Thomas, C. S.; Liu, G. L.; Ferrier, N.; Nealey, P. F. Macromolecules 2009, 42, (14), Sun, Y.; Choi, W. M.; Jiang, H.; Huang, Y. Y.; Rogers, J. A. Nature Nanotechnology 2006, 1, (3), Sundstrom, L.; Krupp, L.; Delenia, E.; Rettner, C.; Sanchez, M.; Hart, M. W.; Kim, H. C.; Zhang, Y. Applied Physics Letters 2006, 88, (24) Tada, Y.; Akasaka, S.; Takenaka, M.; Yoshida, H.; Ruiz, R.; Dobisz, E.; Hasegawa, H. Polymer 2009, 50, (17), Tada, Y.; Akasaka, S.; Yoshida, H.; Hasegawa, H.; Dobisz, E.; Kercher, D.; Takenaka, M. Macromolecules 2008, 41, (23), Takahashi, H.; Laachi, N.; Delaney, K. T.; Hur, S.-M.; Weinheimer, C. J.; Shykind, D.; Fredrickson, G. H. Macromolecules 2012, 45, (15), Takenaka, M.; Aburaya, S.; Akasaka, S.; Hasegawa, H.; Hadjichristidis, N.; Sakellariou, G.; Tada, Y.; Yoshida, H. Journal of Polymer Science Part B-Polymer Physics 2010, 48, (22), Tang, C. B.; Hur, S. M.; Stahl, B. C.; Sivanandan, K.; Dimitriou, M.; Pressly, E.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Macromolecules 2010, 43, (6), Tang, C. B.; Lennon, E. M.; Fredrickson, G. H.; Kramer, E. J.; Hawker, C. J. Science 2008, 322, (5900), Tang, C. B.; Wu, W.; Smilgies, D. M.; Matyjaszewski, K.; Kowalewski, T. Journal of the American Chemical Society 2011, 133, (30), Tang, Q. Y.; Ma, Y. Q. Soft Matter 2010, 6, (18), International Technology Roadmap for Semiconductors, 2012 Update Thomas, E. L.; Alward, D. B.; Kinning, D. J.; Martin, D. C.; Handlin, D. L.; Fetters, L. J. Macromolecules1986, 19, (8),

175 237. Thomas, E. L.; Alward, D. B.; Kinning, D. J.; Martin, D. C.; Handlin, D. L.; Fetters, L. J. Macromolecules1986, 19, (8), Thomas, E. L.; Anderson, D. M.; Henkee, C. S.; Hoffman, D. Nature 1988, 334, (6183), Thomas, E. L.; Kinning, D. J.; Alward, D. B.; Henkee, C. S. Macromolecules 1987, 20, (11), Tsarkova, L.; Horvat, A.; Krausch, G.; Zvelindovsky, A. V.; Sevink, G. J. A.; Magerle, R. Langmuir 2006,22, (19), Tseng, Y.-C.; Peng, Q.; Ocola, L. E.; Elam, J. W.; Darling, S. B. Journal of Physical Chemistry C 2011,115, (36), Urbas, A. M.; Maldovan, M.; DeRege, P.; Thomas, E. L. Advanced Materials 2002, 14, (24), Urbas, A.; Sharp, R.; Fink, Y.; Thomas, E. L.; Xenidou, M.; Fetters, L. J. Advanced Materials 2000, 12, (11), Viventi, J.; Kim, D.-H.; Vigeland, L.; Frechette, E. S.; Blanco, J. A.; Kim, Y.-S.; Avrin, A. E.; Tiruvadi, V. R.; Hwang, S.-W.; Vanleer, A. C.; Wulsin, D. F.; Davis, K.; Gelber, C. E.; Palmer, L.; Van der Spiegel, J.; Wu, J.; Xiao, J.; Huang, Y.; Contreras, D.; Rogers, J. A.; Litt, B. Nature Neuroscience 2011, 14, (12), 1599-U Wang, B. G.; Yamaguchi, T.; Nakao, S. I. Journal of Polymer Science Part B-Polymer Physics 2000, 38, (6), Wang, Q. Macromolecular Theory and Simulations 2005, 14, (2), Wang, Q.; Yan, Q. L.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2000, 33, (12), Wang, Y.; Hong, X. D.; Liu, B. Q.; Ma, C. Y.; Zhang, C. F. Macromolecules 2008, 41, (15), Wang, Z. G.; Safran, S. A. Journal of Chemical Physics 1991, 94, (1), Wang, Z. L.; Song, J. H. Science 2006, 312, (5771), Welander, A. M.; Kang, H. M.; Stuen, K. O.; Solak, H. H.; Muller, M.; de Pablo, J. J.; Nealey, P. F. Macromolecules 2008, 41, (8), Whitesides, G. M.; Ostuni, E.; Takayama, S.; Jiang, X. Y.; Ingber, D. E. Annual Review of Biomedical Engineering 2001, 3,

176 253. Willets, K. A.; Van Duyne, R. P., Localized surface plasmon resonance spectroscopy and sensing. In Annual Review of Physical Chemistry, 2007; Vol. 58, pp Wu, M. W.; Register, R. A.; Chaikin, P. M. Physical Review E 2006, 74, (4) Xiang, H. Q.; Shin, K.; Kim, T.; Moon, S. I.; McCarthy, T. J.; Russell, T. P. Macromolecules 2004, 37, (15), Xiao, S. A. G.; Yang, X. M.; Lee, K. Y.; ver der Veerdonk, R. J. M.; Kuo, D.; Russell, T. P. Nanotechnology 2011, 22, (30) Xiao, S. G.; Yang, X. M.; Edwards, E. W.; La, Y. H.; Nealey, P. F. Nanotechnology 2005, 16, (7), S324-S Xiao, S. G.; Yang, X. M.; Park, S. J.; Weller, D.; Russell, T. P. Advanced Materials 2009, 21, (24), Xu, F.; Zhu, Y. Advanced Materials 2012, 24, (37), Xuan, Y.; Peng, J.; Cui, L.; Wang, H. F.; Li, B. Y.; Han, Y. C. Macromolecules 2004, 37, (19), Yang, J. K. W.; Jung, Y. S.; Chang, J. B.; Mickiewicz, R. A.; Alexander-Katz, A.; Ross, C. A.; Berggren, K. K. Nature Nanotechnology 2010, 5, (4), Yang, S. Y.; Park, J.; Yoon, J.; Ree, M.; Jang, S. K.; Kim, J. K. Advanced Functional Materials 2008, 18, (9), Yang, S. Y.; Ryu, I.; Kim, H. Y.; Kim, J. K.; Jang, S. K.; Russell, T. P. Advanced Materials 2006, 18, (6), Yang, X. M.; Wan, L.; Xiao, S. G.; Xu, Y. A.; Weller, D. K. Acs Nano 2009, 3, (7), Yin, J.; Xu, Q.; Wang, Z.; Yao, X.; Wang, Y. Journal of Materials Chemistry C 2013, 1, (5), Yoon, W.-J.; Jung, K.-Y.; Liu, J.; Duraisamy, T.; Revur, R.; Teixeira, F. L.; Sengupta, S.; Berger, P. R.Solar Energy Materials and Solar Cells 2010, 94, (2), Yu, G.; Gao, J.; Hummelen, J. C.; Wudl, F.; Heeger, A. J. Science 1995, 270, (5243), Zhang, X. J.; Harns, K. D.; Wu, N. L. Y.; Murphy, J. N.; Buriak, J. M. Acs Nano 2010, 4, (11),

177 269. Zschech, D.; Kim, D. H.; Milenin, A. P.; Scholz, R.; Hillebrand, R.; Hawker, C. J.; Russell, T. P.; Steinhart, M.; Gosele, U. Nano Letters 2007, 7, (6),

178 Appendix Solvent annealing: A mixed solvent system of 30 ml of cyclohexane and 30 ml of acetone was used, corresponding to 40 mol% cyclohexane. The vapor pressure of cyclohexane at room temperature is ~31 Torr and the vapor pressure of acetone is ~110 Torr. The solvents were added to the base of a 2L desiccator. The desiccator was sealed and placed under vacuum for ~5 minutes to degas the solutions. The desiccator was then purged with air and the samples were placed on a metal mesh above the solvents. The desiccator was again sealed and vacuum was applied to remove most of the air present in the desiccator. The vacuum was disconnected and the films were allowed to swell in the presence of saturated solvent vapor for 4 hrs, at which time the film thickness was approximately 3Lo. At this point the desiccator chamber was again placed under vacuum to remove the solvent vapor and to allow the solvent vapor absorbed in the film to escape. After the samples returned to their original thickness (as monitored by the film color as detected by eye), the chamber was flooded with air and the samples were removed. Analysis of the lamellar fingerprint morphology: Scanning electron micrographs were converted to black and white with the black domains corresponding to PMMA lamellae and the white domains corresponding to PS lamellae. Each continuous lamellar network was assigned a numerical value and colors were assigned to each pixel based on its network label. This allowed a qualitative analysis of the continuity of each lamellar domain to be achieved for an entire image. Both the PS and PMMA domains were skeletonized in order to map the branches and end points in the lamellar morphology. All branch and end point densities were averaged using 10 distinct images. The persistence length was also calculated using the skeletonized networks. The director associated with each pixel in the lamellae was determined by 1) fitting a line to the pixel and its 6 nearest neighbors and 2) taking the first derivative of the line at that point. The correlation was 168

179 calculated for each pair of pixels in a lamellar segment, and the correlation for each pathlength between lamellar pixels was averaged across 10 images. The averaged correlation was fit to a generic formula for persistence length cos θ = exp L P where θ is the difference in angle between interfacial tangent vectors, L is the pathlength along the lamellae between pixels, and P is the persistence length. Adding 1 and dividing by 2 normalized the persistence length so that the long-range correlation was equal to 0.5, which is physically realistic based on the method used to calculate cos θ. The brackets around the correlation cos θ denote that it is averaged across all possible lamellar starting positions. 169

180 Figure 43: Large Area BCP Images Composite images for pure PS-b-PMMA block copolymer. The stitched together SEM is shown in a) along with the b) connected PS domains and c) connected domains. composition PMMA This corresponds to fpmma = The major PS network spans the entire composite and the PMMA networks are highly divided. 170

181 Figure 44: Large Area BCP Images Composite images for a block copolymer blend with fpmma = The stitched together SEM is shown in a) along with the b) connected PS domains and c) connected PMMA domains. The major PMMA network spans the entire composite and the PS networks are highly divided. Images are 8.78 μm x 4.61 μm. Image is 8.58 μm x 5.07 μm. 171

182 Figure 45: Fast Fourier Transforms of Pure Block Copolymers 172

183 Figure 46: Evolution of Solvent Annealed Films upon Thermal Annealing 173

184 Figure 47: Intensity Profilometry to Determine Nanowire Width 174

BLOCK COPOLYMER PHOTONIC GEL FOR DETECTING INTERFACIAL SEPARATION

BLOCK COPOLYMER PHOTONIC GEL FOR DETECTING INTERFACIAL SEPARATION BLOCK COPOLYMER PHOTONIC GEL FOR DETECTING INTERFACIAL SEPARATION Edwin P. Chan, Materials Research Engineer, National Institute of Standards and Technology, Gaithersburg, MD Introduction Mechanochromism,

More information

Development of block copolymer systems for directed self assembly at the University of Queensland

Development of block copolymer systems for directed self assembly at the University of Queensland Development of block copolymer systems for directed self assembly at the University of Queensland Imelda Keen, Han-Hao Cheng, Anguang Yu, Thomas Bennett, Ya-Mi Chuang, Kevin Jack, Kristofer Thurecht Andrew

More information

POLYMER PHYSICS. Ulf W. Gedde. Kluwer Academic Publishers

POLYMER PHYSICS. Ulf W. Gedde. Kluwer Academic Publishers POLYMER PHYSICS Ulf W. Gedde Associate Professor of Polymer Technology Department of Polymer Technology Royal Institute of Technology Stockholm, Sweden Kluwer Academic Publishers Dordrecht / Boston / London

More information

CHAPTER 4 LANGMUIR FILMS AT THE AIR/WATER INTERFACE

CHAPTER 4 LANGMUIR FILMS AT THE AIR/WATER INTERFACE CHAPTER 4 GROWTH OF POLY(ε-CAPROLACTONE) CRYSTALS IN LANGMUIR FILMS AT THE AIR/WATER INTERFACE Reproduced with permission from: Li, B.; Wu, Y.; Liu, M.; Esker, A. R. Brewster Angle Microscopy Study of

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Block copolymers and conventional lithography

Block copolymers and conventional lithography Block copolymers and conventional lithography The lithographic process is arguably the key enabling technology for the digital age. Hundreds of millions of devices can be fabricated on a single chip because

More information

Self Assembled Heterojunction Solar Cell Active Layers: CFN/USB/CAT Collaboation

Self Assembled Heterojunction Solar Cell Active Layers: CFN/USB/CAT Collaboation Self Assembled Heterojunction Solar Cell Active Layers: CFN/USB/CAT Collaboation Supported in part by the SensorCat program at NYSTAR,NSF-MRSEC Jennifer A. Segui PhD student in Biomedical Engineering.

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Macroscopic Arrays of Block Copolymers with Areal Densities of 10 Terbit/inch 2 and Beyond

Macroscopic Arrays of Block Copolymers with Areal Densities of 10 Terbit/inch 2 and Beyond Macroscopic Arrays of Block Copolymers with Areal Densities of 10 Terbit/inch 2 and Beyond Soojin Park*, Dong Hyun Lee, Bokyung Kim, Sung Woo Hong Department of Polymer Science and Engineering, University

More information

416 Solid State Physics ; Introduction & Overview

416 Solid State Physics ; Introduction & Overview 416 Solid State Physics 8-29-2016; Introduction & Overview Assignment: Read chapter 1 of Kittel for next time on crystal symmetries. This course covers concepts in solid state physics. Note that physics-related

More information

(a) Would you expect the element P to be a donor or an acceptor defect in Si?

(a) Would you expect the element P to be a donor or an acceptor defect in Si? MSE 200A Survey of Materials Science Fall, 2008 Problem Set No. 2 Problem 1: At high temperature Fe has the fcc structure (called austenite or γ-iron). Would you expect to find C atoms in the octahedral

More information

Self-assembling block copolymer systems involving competing lenght scales Nap, Rikkert Jan

Self-assembling block copolymer systems involving competing lenght scales Nap, Rikkert Jan University of Groningen Self-assembling block copolymer systems involving competing lenght scales Nap, Rikkert Jan IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if

More information

Chapter 4. Sample preparation

Chapter 4. Sample preparation Chapter 4 Sample preparation The polystyrene-polymethylmethacrylate diblock copolmyer with the PS component totally deuterated, denoted as P(dS-b-PMMA), was bought from the Polymer Standards Service GmbH

More information

Computer Simulation of The Inducing Effects on the Phase Morphology of PS-b- PMMA Copolymers

Computer Simulation of The Inducing Effects on the Phase Morphology of PS-b- PMMA Copolymers Computer Simulation of The Inducing Effects on the Phase Morphology of PS-b- PMMA Copolymers Jianquan Li Photoelectric Engineering College Zaozhuang University, Zaozhuang, China,277160 huangxuri2005@yahoo.com.cn

More information

Fabrication of aligned metallic structures based on block copolymer lithography

Fabrication of aligned metallic structures based on block copolymer lithography 88 Fabrication of aligned metallic structures based on block copolymer lithography Yusuke Takahashi Department ofmicroelectronic Engineering, Rochester Institute of Technology, 82 Lomb Memorial Dr., Rochester,

More information

Macrophase Separation Using Block Copolymer Blends

Macrophase Separation Using Block Copolymer Blends Macrophase Separation Using Block Copolymer Blends Rachel Philiph 1,2, Kameron Oser 1, Sam Nicaise 1, and Karl Berggren 1 1 Department of Electrical Engineering and Computer Science, Massachusetts Institute

More information

Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers

Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers Local thermal analysis identifies polymer AFM image of polymer blend Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers VITA module

More information

Chemical Engineering 160/260 Polymer Science and Engineering. Lecture 17: Kinetics and Thermodynamics of Crystallization February 26, 2001

Chemical Engineering 160/260 Polymer Science and Engineering. Lecture 17: Kinetics and Thermodynamics of Crystallization February 26, 2001 Chemical Engineering 160/260 Polymer Science and Engineering Lecture 17: Kinetics and Thermodynamics of Crystallization February 26, 2001 Sperling, Chapter 6 Objectives To rationalize the observed morphology

More information

Materials Science and Engineering: An Introduction

Materials Science and Engineering: An Introduction Materials Science and Engineering: An Introduction Callister, William D. ISBN-13: 9780470419977 Table of Contents List of Symbols. 1 Introduction. 1.1 Historical Perspective. 1.2 Materials Science and

More information

The story so far: Isolated defects

The story so far: Isolated defects The story so far: Infinite, periodic structures have Bloch wave single-particle states, labeled by a wavenumber k. Translational symmetry of the lattice + periodic boundary conditions give discrete allowed

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Chapter Outline Dislocations and Strengthening Mechanisms. Introduction

Chapter Outline Dislocations and Strengthening Mechanisms. Introduction Chapter Outline Dislocations and Strengthening Mechanisms What is happening in material during plastic deformation? Dislocations and Plastic Deformation Motion of dislocations in response to stress Slip

More information

Thin Film Confinement of a Spherical Block Copolymer via Forced Assembly Co-extrusion

Thin Film Confinement of a Spherical Block Copolymer via Forced Assembly Co-extrusion Supplementary Information Thin Film Confinement of a Spherical Block Copolymer via Forced Assembly Co-extrusion Tiffani M. Burt a,b, Seyedali Monemian a, Alex M. Jordan a,b, and LaShanda T. J. Korley a,b*

More information

Enhancement of connectivity and flux pinning in MgB2 superconducting bulks and wires

Enhancement of connectivity and flux pinning in MgB2 superconducting bulks and wires University of Wollongong Research Online University of Wollongong Thesis Collection 1954-2016 University of Wollongong Thesis Collections 2009 Enhancement of connectivity and flux pinning in MgB2 superconducting

More information

MICROSTRUCTURAL EVOLUTION IN MATERIALS

MICROSTRUCTURAL EVOLUTION IN MATERIALS MICROSTRUCTURAL EVOLUTION IN MATERIALS DEPARTMENT OF MATERIALS SCIENCE AND ENGINEERING MASSACHUSETTS INSTITUTE OF TECHNOLOGY Spring Semester, 2010 Final Exam Please read the exam through before starting.

More information

Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography

Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography Macromolecular Research, Vol. 13, No. 5, pp 435-440 (2005) Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography Bo Kyung Yoon, Wonseok Hwang, Youn Jung

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Demixing of Polymers under Nanoimprinting Process

Demixing of Polymers under Nanoimprinting Process University of Colorado, Boulder CU Scholar Mechanical Engineering Graduate Theses & Dissertations Mechanical Engineering Spring 1-1-2013 Demixing of Polymers under Nanoimprinting Process Zhen Wang University

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Chapter 7 Dislocations and Strengthening Mechanisms. Dr. Feras Fraige

Chapter 7 Dislocations and Strengthening Mechanisms. Dr. Feras Fraige Chapter 7 Dislocations and Strengthening Mechanisms Dr. Feras Fraige Chapter Outline Dislocations and Strengthening Mechanisms What is happening in material during plastic deformation? Dislocations and

More information

Polymer-based Microfabrication

Polymer-based Microfabrication Polymer-based Microfabrication PDMS SU-8 PMMA Hydrogel 1 Soft Lithography Developed by Whitesides, et. al A set of techniques for microfabrication based on the use of lithography, soft substrate materials

More information

IMPERFECTIONSFOR BENEFIT. Sub-topics. Point defects Linear defects dislocations Plastic deformation through dislocations motion Surface

IMPERFECTIONSFOR BENEFIT. Sub-topics. Point defects Linear defects dislocations Plastic deformation through dislocations motion Surface IMPERFECTIONSFOR BENEFIT Sub-topics 1 Point defects Linear defects dislocations Plastic deformation through dislocations motion Surface IDEAL STRENGTH Ideally, the strength of a material is the force necessary

More information

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2 Module 13: Soft Lithography Lecture 19: Soft Lithography 2 1 In the previous lecture we have introduced the concept of Soft Lithography and discussed three of the methods, which are Replica Molding, Micro

More information

Diffusional Transformations in Solids

Diffusional Transformations in Solids Diffusional Transformations in Solids The majority of phase transformations that occur in the solid state take place by thermally activated atomic movements. The transformations that will be dealt with

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

X-Ray Diffraction by Macromolecules

X-Ray Diffraction by Macromolecules N. Kasai M. Kakudo X-Ray Diffraction by Macromolecules With 351 Figures and 56 Tables Kodansha ~Springer ... Contents Preface v Part I Fundamental 1. Essential Properties of X-Rays................. 3 1.1

More information

Physics of Nanomaterials. Module II. Properties of Nanomaterials. Learning objectives

Physics of Nanomaterials. Module II. Properties of Nanomaterials. Learning objectives Physics of Nanomaterials Module II Properties of Nanomaterials Learning objectives Microstructure and defects in nanomaterials, dislocations, twins, stacking faults and voids, grain boundaries Effect of

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

8. Principles of Solidification

8. Principles of Solidification CBE4010 Introduction to Materials Science for Chemical Engineers 8. Principles of Solidification The Driving Force a Phase Change We expect a material to solidify when the liquid cools to just below its

More information

SOLIDIFICATION, PHASE DIAGRAM & STEELS

SOLIDIFICATION, PHASE DIAGRAM & STEELS MODULE TWO SOLIDIFICATION, PHASE DIAGRAM & STEELS 4. SOLIDIFICATION Introduction Mechanism of solidification - crystallization and development of cast structure - nucleation and grain growth - dendritic

More information

Thermodynamics of Surfaces and Interfaces

Thermodynamics of Surfaces and Interfaces Thermodynamics of Surfaces and Interfaces An accessible yet rigorous discussion of the thermodynamics of surfaces and interfaces, bridging the gap between textbooks and advanced literature by delivering

More information

Louisette Priester. Grain Boundaries. From Theory to Engineering. ^ Springer. sciences

Louisette Priester. Grain Boundaries. From Theory to Engineering. ^ Springer. sciences Louisette Priester Grain Boundaries From Theory to Engineering sciences ^ Springer Contents Part I From Grain Boundary Order to Disorder 1 Geometrical Order of Grain Boundaries 3 1.1 Grain Boundary Geometry.

More information

BFF1113 Engineering Materials DR. NOOR MAZNI ISMAIL FACULTY OF MANUFACTURING ENGINEERING

BFF1113 Engineering Materials DR. NOOR MAZNI ISMAIL FACULTY OF MANUFACTURING ENGINEERING BFF1113 Engineering Materials DR. NOOR MAZNI ISMAIL FACULTY OF MANUFACTURING ENGINEERING Course Guidelines: 1. Introduction to Engineering Materials 2. Bonding and Properties 3. Crystal Structures & Properties

More information

Evaluation of length scale effects for micro and nano-sized cantilevered structures

Evaluation of length scale effects for micro and nano-sized cantilevered structures University of Wollongong Research Online University of Wollongong Thesis Collection 1954-2016 University of Wollongong Thesis Collections 2010 Evaluation of length scale effects for micro and nano-sized

More information

CHAPTER 3 SELECTION AND PROCESSING OF THE SPECIMEN MATERIAL

CHAPTER 3 SELECTION AND PROCESSING OF THE SPECIMEN MATERIAL 54 CHAPTER 3 SELECTION AND PROCESSING OF THE SPECIMEN MATERIAL 3.1 HIGH STRENGTH ALUMINIUM ALLOY In the proposed work, 7075 Al alloy (high strength) has been identified, as a material for the studies on

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Imperfections, Defects and Diffusion

Imperfections, Defects and Diffusion Imperfections, Defects and Diffusion Lattice Defects Week5 Material Sciences and Engineering MatE271 1 Goals for the Unit I. Recognize various imperfections in crystals (Chapter 4) - Point imperfections

More information

PHYSICS 617,

PHYSICS 617, PHYSICS 617, 1-18-2017 These notes include an overview of the properties of solids, and their relationships to structures that we will examine later in more detail. Most of the course deals with the study

More information

PLMSE 406 Practice Test A polymer chain in the melt or in the rubbery state has an average end-to-end distance that is proportional to

PLMSE 406 Practice Test A polymer chain in the melt or in the rubbery state has an average end-to-end distance that is proportional to PLMSE 406 Practice Test 2 1. A polymer chain in the melt or in the rubbery state has an average end-to-end distance that is proportional to a. N b. N 0.75 c. N 0.6 d. N 0.5 e. N 0.33 where N is the number

More information

much research (in physics, chemistry, material science, etc.) have been done to understand the difference in materials properties.

much research (in physics, chemistry, material science, etc.) have been done to understand the difference in materials properties. 1.1: Introduction Material science and engineering Classify common features of structure and properties of different materials in a well-known manner (chemical or biological): * bonding in solids are classified

More information

DEFECTS IN SILICON-GERMANIUM STRAINED EPITAXIAL LAYERS MARK DYNNA. A Thesis. Submitted to the School of Graduate Studies.

DEFECTS IN SILICON-GERMANIUM STRAINED EPITAXIAL LAYERS MARK DYNNA. A Thesis. Submitted to the School of Graduate Studies. DEFECTS IN SILICON-GERMANIUM STRAINED EPITAXIAL LAYERS By MARK DYNNA A Thesis Submitted to the School of Graduate Studies in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

A vapor (or gas) needs a completely enclosed container to have a definite volume; it will readily take on any shape imposed.

A vapor (or gas) needs a completely enclosed container to have a definite volume; it will readily take on any shape imposed. Overview: Amorphous and crystalline solid states. States of matter. General description. A vapor (or gas) needs a completely enclosed container to have a definite volume; it will readily take on any shape

More information

Overview: College of Polymer Science and Polymer Engineering

Overview: College of Polymer Science and Polymer Engineering Overview: College of Polymer Science and Polymer Engineering Paula Watt, Ph.D. Director of Outreach College of Polymer Science and Polymer Engineering Polymers and Composites are ideal for lightweighting

More information

Contents. Part I Basic Thermodynamics and Kinetics of Phase Transformations 1

Contents. Part I Basic Thermodynamics and Kinetics of Phase Transformations 1 Contents Preface List of tables Notation page iii xiii xiv Part I Basic Thermodynamics and Kinetics of Phase Transformations 1 1 Introduction 3 1.1 What Is a Phase Transition? 3 1.2 Atoms and Materials

More information

Dense Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials

Dense Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials Supporting Information for Dense Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials Michael T. Barako, 1,2* Scott G. Isaacson, 2 Feifei Lian, 1,2 Eric Pop, 2 Reinhold H.

More information

Chapter 2 Crystal Growth and Wafer Preparation

Chapter 2 Crystal Growth and Wafer Preparation Chapter 2 Crystal Growth and Wafer Preparation Professor Paul K. Chu Advantages of Si over Ge Si has a larger bandgap (1.1 ev for Si versus 0.66 ev for Ge) Si devices can operate at a higher temperature

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/321/5891/936/dc1 Supporting Online Material for Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly Ricardo Ruiz,* Huiman Kang, François

More information

The Glass Transition in Polymers

The Glass Transition in Polymers The Glass Transition in Polymers Introduction : Collections of molecules can exist in three possible physical states: solid, liquid and gas. In polymeric materials, things are not so straightforward. For

More information

Introduction to Polymer-Dispersed Liquid Crystals

Introduction to Polymer-Dispersed Liquid Crystals Introduction to Polymer-Dispersed Liquid Crystals Polymer-dispersed liquid crystals (PDLCs) are a relatively new class of materials that hold promise for many applications ranging from switchable windows

More information

Dept.of BME Materials Science Dr.Jenan S.Kashan 1st semester 2nd level. Imperfections in Solids

Dept.of BME Materials Science Dr.Jenan S.Kashan 1st semester 2nd level. Imperfections in Solids Why are defects important? Imperfections in Solids Defects have a profound impact on the various properties of materials: Production of advanced semiconductor devices require not only a rather perfect

More information

Anirban Som

Anirban Som Anirban Som 08-02-14 Introduction Few electronic conductors are both stretchable and transparent. The existing stretchable and transparent electrodes, such as graphene sheets, carbon nanotube films and

More information

The Science and Engineering of Materials, 4 th ed Donald R. Askeland Pradeep P. Phulé. Chapter 3 Atomic and Ionic Arrangements

The Science and Engineering of Materials, 4 th ed Donald R. Askeland Pradeep P. Phulé. Chapter 3 Atomic and Ionic Arrangements The Science and Engineering of Materials, 4 th ed Donald R. Askeland Pradeep P. Phulé Chapter 3 Atomic and Ionic Arrangements 1 Objectives of Chapter 3 To learn classification of materials based on atomic/ionic

More information

Powder Technology course Autumn semester Sintering theory. Peter M Derlet Condensed Matter Theory Paul Scherrer Institut.

Powder Technology course Autumn semester Sintering theory. Peter M Derlet Condensed Matter Theory Paul Scherrer Institut. Powder Technology course Autumn semester 2017 Sintering theory Peter M Derlet Condensed Matter Theory Paul Scherrer Institut peter.derlet@psi.ch 2 References German, R.M. (1994) Powder metallurgy science,

More information

High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia

High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia Mat. Res. Soc. Symp. Proc. Vol. 654 2001 Materials Research Society High-resolution electron microscopy of grain boundary structures in yttria-stabilized cubic zirconia K. L. Merkle, L. J. Thompson, G.-R.

More information

Polymer Interface and Adhesion

Polymer Interface and Adhesion Polymer Interface and Adhesion SOUHENG WU E. I. du Pont de Nemours &.Company Wilmington, Delaware MARCEL DEKKER, INC. New York and Basel Preface Acknowledgments 1. INTERFACIAL THERMODYNAMICS 1 1.1 Formulation

More information

Amorphous Materials Exam II 180 min Exam

Amorphous Materials Exam II 180 min Exam MIT3_071F14_ExamISolutio Name: Amorphous Materials Exam II 180 min Exam Problem 1 (30 Points) Problem 2 (24 Points) Problem 3 (28 Points) Problem 4 (28 Points) Total (110 Points) 1 Problem 1 Please briefly

More information

TOPIC 2. STRUCTURE OF MATERIALS III

TOPIC 2. STRUCTURE OF MATERIALS III Universidad Carlos III de Madrid www.uc3m.es MATERIALS SCIENCE AND ENGINEERING TOPIC 2. STRUCTURE OF MATERIALS III Topic 2.3: Crystalline defects. Solid solutions. 1 PERFECT AND IMPERFECT CRYSTALS Perfect

More information

Supplementary Materials for

Supplementary Materials for advances.sciencemag.org/cgi/content/full/4/8/eaat4712/dc1 Supplementary Materials for In situ manipulation and switching of dislocations in bilayer graphene Peter Schweizer, Christian Dolle, Erdmann Spiecker*

More information

A Study of Nanomechanical Memory

A Study of Nanomechanical Memory A Study of Nanomechanical Memory John LaRocco Nanoscale Electronics Dr. Krchnavek Table of Contents I. Introduction II. Nanomechanical Memory A. History B. Materials C. Fabrication D. Functionality III.

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Chapter 15 Part 2. Mechanical Behavior of Polymers. Deformation Mechanisms. Mechanical Behavior of Thermoplastics. Properties of Polymers

Chapter 15 Part 2. Mechanical Behavior of Polymers. Deformation Mechanisms. Mechanical Behavior of Thermoplastics. Properties of Polymers Mechanical Behavior of Polymers Chapter 15 Part 2 Properties of Polymers Wide range of behaviors Elastic-Brittle (Curve A) Thermosets and thermoplastics Elastic-Plastic (Curve B) Thermoplastics Extended

More information

NanoFabrication Systems DPN. Nanofabrication Systems. A complete line of instruments and tools for micro and nanopatterning applications

NanoFabrication Systems DPN. Nanofabrication Systems. A complete line of instruments and tools for micro and nanopatterning applications DPN Nanofabrication Systems A complete line of instruments and tools for micro and nanopatterning applications DPN Nanofabrication Systems A complete line of instruments and tools for micro and nanopatterning

More information

Morphological Characterization and Analysis of Ion- Containing Polymers Using Small Angle X-ray Scattering

Morphological Characterization and Analysis of Ion- Containing Polymers Using Small Angle X-ray Scattering Morphological Characterization and Analysis of Ion- Containing Polymers Using Small Angle X-ray Scattering Mingqiang Zhang Dissertation submitted to the faculty of the Virginia Polytechnic Institute and

More information

Activation of deformation mechanism

Activation of deformation mechanism Activation of deformation mechanism The deformation mechanism activates when a critical amount of mechanical stress imposed to the crystal The dislocation glide through the slip systems when the required

More information

Yilong Han, Co-authors: Yi Peng, Feng Wang Nucleation in solid-solid transitions of colloidal crystals

Yilong Han, Co-authors: Yi Peng, Feng Wang Nucleation in solid-solid transitions of colloidal crystals Yilong Han, yilong@ust.hk Co-authors: Yi Peng, Feng Wang Nucleation in solid-solid transitions of colloidal crystals Solid-solid phase transitions between different crystalline structures are ubiquitous

More information

Defects and Diffusion

Defects and Diffusion Defects and Diffusion Goals for the Unit Recognize various imperfections in crystals Point imperfections Impurities Line, surface and bulk imperfections Define various diffusion mechanisms Identify factors

More information

BLOCK COPOLYMERS ORGANIZATION AT INTERFACE

BLOCK COPOLYMERS ORGANIZATION AT INTERFACE THE 19 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS BLOCK COPOLYMERS ORGANIZATION AT INTERFACE D.Fischer, S. Bistac *, M. Brogly, Université de Haute Alsace, LPIM, Mulhouse France * Corresponding

More information

Introduction to polymers

Introduction to polymers The University of Edinburgh Division of Engineering Session 2001-2002 Materials Science and Engineering Introduction to polymers 1. Polymeric materials basic definitions, structure, classification 1.1

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Recrystallization Theoretical & Practical Aspects

Recrystallization Theoretical & Practical Aspects Theoretical & Practical Aspects 27-301, Microstructure & Properties I Fall 2006 Supplemental Lecture A.D. Rollett, M. De Graef Materials Science & Engineering Carnegie Mellon University 1 Objectives The

More information

New Materials and Processes for Directed Self-Assembly

New Materials and Processes for Directed Self-Assembly New Materials and Processes for Directed Self-Assembly Shih-Wei Chang a, Jessica P. Evans c, Shouren Ge c, Valeriy V. Ginzburg b, John W. Kramer b, Brian Landes b, Christopher Lee a, Greg Meyers b, Daniel

More information

MT 348 Outline No MECHANICAL PROPERTIES

MT 348 Outline No MECHANICAL PROPERTIES MT 348 Outline No. 1 2009 MECHANICAL PROPERTIES I. Introduction A. Stresses and Strains, Normal and Shear Loading B. Elastic Behavior II. Stresses and Metal Failure A. ʺPrincipal Stressʺ Concept B. Plastic

More information

Magnetic pinning in hybrid YBa 2 Cu 3 O 7-x /ferromagnetic nano-dot structures obtained by di-block copolymer self-assembly method

Magnetic pinning in hybrid YBa 2 Cu 3 O 7-x /ferromagnetic nano-dot structures obtained by di-block copolymer self-assembly method Magnetic pinning in hybrid YBa 2 Cu 3 O 7-x /ferromagnetic nano-dot structures obtained by di-block copolymer self-assembly method Traian Petrişor Jr., Bianca Moş, Mihai Gabor, Mircea Năsui, Amalia Mesaroş,

More information

Index. Cambridge University Press Introduction to Elasticity Theory for Crystal Defects R. W. Balluffi. Index.

Index. Cambridge University Press Introduction to Elasticity Theory for Crystal Defects R. W. Balluffi. Index. Airy stress functions formulation of 60 1 table of 426 alternator operator 419 Brown s formula 255 Burgers equation 264 5 Christoffel stiffness tensor 34 corresponding elastic fields 25 7 curvature tensor,

More information

Fabrication of nanoporous & nanofoamed materials using microphase separation of block co-polymers

Fabrication of nanoporous & nanofoamed materials using microphase separation of block co-polymers Plasticheskie Massy, No. 1, 2013, pp. 3 xx Fabrication of nanoporous & nanofoamed materials using microphase separation of block co-polymers H. Yokoyama University of Tokyo, Graduate School of Frontier

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Metal working: Deformation processing II. Metal working: Deformation processing II

Metal working: Deformation processing II. Metal working: Deformation processing II Module 28 Metal working: Deformation processing II Lecture 28 Metal working: Deformation processing II 1 Keywords : Difference between cold & hot working, effect of macroscopic variables on deformation

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Analysis of Shear Wall Transfer Beam Structure LEI KA HOU

Analysis of Shear Wall Transfer Beam Structure LEI KA HOU Analysis of Shear Wall Transfer Beam Structure by LEI KA HOU Final Year Project report submitted in partial fulfillment of the requirement of the Degree of Bachelor of Science in Civil Engineering 2013-2014

More information

Introduction to Nanofabrication: Top Down to Bottom Up

Introduction to Nanofabrication: Top Down to Bottom Up Welcome to NACK s Webinar Introduction to Nanofabrication: Top Down to Bottom Up NACK is an NSF-funded ATE Resource Center supporting faculty in Nanotechnology Education Hosted by MATEC Networks www.matecnetworks.org

More information

The concept of statical determinacy

The concept of statical determinacy Appendix 3 The concept of statical determinacy 140 A3.1 Introduction It has been shown that the conditions for equilibrium of a set of coplanar forces can be summarised in the three equations of equilibrium

More information

Molecular Dynamics Simulation on the Single Particle Impacts in the Aerosol Deposition Process

Molecular Dynamics Simulation on the Single Particle Impacts in the Aerosol Deposition Process Materials Transactions, Vol. 46, No. 6 (2005) pp. 1235 to 1239 Special Issue on Computer Modeling of Materials and Processes #2005 The Japan Institute of Metals Molecular Dynamics Simulation on the Single

More information

PROGRESS TOWARDS UNDERSTANDING PSA FOAM TAPE DESIGN

PROGRESS TOWARDS UNDERSTANDING PSA FOAM TAPE DESIGN PROGRESS TOWARDS UNDERSTANDING PSA FOAM TA DESIGN Dr. Kerstin van Wijk, Senior Associate, National Adhesives, Kleve, Germany Frank Voermans, Technical Manager, ALVEO AG, Lucerne, Switzerland Abstract The

More information

Solid State Transformations

Solid State Transformations Solid State Transformations Symmetrical Tilt Boundary The misorientation θ between grains can be described in terms of dislocations (Fig. 1). Inserting an edge dislocation of Burgers vector b is like forcing

More information

Structural Bioinformatics (C3210) Conformational Analysis Protein Folding Protein Structure Prediction

Structural Bioinformatics (C3210) Conformational Analysis Protein Folding Protein Structure Prediction Structural Bioinformatics (C3210) Conformational Analysis Protein Folding Protein Structure Prediction Conformational Analysis 2 Conformational Analysis Properties of molecules depend on their three-dimensional

More information