AN ABSTRACT OF THE DISSERTATION OF. Electrical and Computer Engineering presented on November 5, John F. Wager

Size: px
Start display at page:

Download "AN ABSTRACT OF THE DISSERTATION OF. Electrical and Computer Engineering presented on November 5, John F. Wager"

Transcription

1

2 AN ABSTRACT OF THE DISSERTATION OF David Hong for the degree of Doctor of Philosophy in Electrical and Computer Engineering presented on November 5, Title: Fabrication and Characterization of Thin-film Transistor Materials and Devices. Abstract approved: John F. Wager A class of inorganic thin-film transistor (TFT) semiconductor materials has emerged involving oxides composed of post-transitional cations with (n-1)d 10 ns 0 (n 4) electronic configurations. This thesis is devoted to the pursuit of topics involving the development of these materials for TFT applications: Deposition of zinc oxide and zinc tin oxide semiconductor layers via reactive sputtering from a metal target, and the characterization of indium gallium zinc oxide (IGZO)-based TFTs utilizing various insulator materials as the gate dielectric. The first topic involves the deposition of oxide semiconductor layers via reactive sputtering from a metal target. Two oxide semiconductors are utilized for fabricating TFTs via reactive sputtering from a metal target: zinc oxide and zinc tin oxide. With optimized processing parameters, zinc oxide and zinc tin oxide via this deposition method exhibit similar characteristics to TFTs fabricated via sputtering from a ceramic target. Additionally the effects of gate capacitance density and gate dielectric material are explored utilizing TFTs with IGZO as the semiconductor layers. IGZO-based TFTs exhibit ideal behavior with improved TFT performance such as higher current drive at a given overvoltage, a decrease in the subthreshold swing, and a decrease in the magnitude of the turn-on voltage. Additionally it is shown that silicon dioxide is the preferred dielectric material, with silicon nitride a poor choice for oxide-based TFTs.

3 Finally a simple method to characterize the band tail state distribution near the conduction band minimum of a semiconductor by analyzing two-terminal current-voltage characteristics of a TFT with a floating gate is presented. The characteristics trap energy (E T ) as a function of post-deposition annealing temperature is shown to correlate very well with IGZO TFT performance, with a lower value of E T, corresponding to a more abrupt distribution of band tail states, correlating with improved TFT mobility. It is shown that as the post-deposition anneal temperature increases, the total number of band tail states does not change significantly, however the energy distribution of these states approaches that of a crystalline material.

4 c Copyright by David Hong November 5, 2008 All Rights Reserved

5 Fabrication and Characterization of Thin-film Transistor Materials and Devices by David Hong A DISSERTATION submitted to Oregon State University in partial fulfillment of the requirements for the degree of Doctor of Philosophy Presented November 5, 2008 Commencement June 2009

6 Doctor of Philosophy dissertation of David Hong presented on November 5, 2008 APPROVED: Major Professor, representing Electrical and Computer Engineering Director of the School of Electrical Engineering and Computer Science Dean of the Graduate School I understand that my dissertation will become part of the permanent collection of Oregon State University libraries. My signature below authorizes release of my dissertation to any reader upon request. David Hong, Author

7 ACKNOWLEDGMENTS I would like to thank my family for their support and encouragement throughout my education and life. They have instilled in me a work ethic and ambition that has led me this far. I would also like to thank all of my friends and coworkers who have contributed greatly during my graduate program. Several key people are Dr. Hai Chiang and Dr. Jeff Bender, who have been great resources for encouragement and cromulent insight into many topics as well as a great sounding board for my bizarre ideas. Professor John The Bossman Wager has provided great direction and discussion as well as an endless supply of enthusiasm and energy. Chris Tasker provided a work ethic to follow, as well as great direction and support in and outside of the cleanroom. Additionally, Manfred Dittrich has provided exceptional fabrication work and mechanical knowledge as well as great discussions ranging from politics and religion to football and the proper usage of threading taps. A number of industry people deserve acknowledgements. Two specific people I would like to mention are Randy Hoffman, inventor of the inorganic transparent thin-film transistor, and Greg Herman, both of whom have been great resources. In addition to providing many of the substrates used for this dissertation, they have provided a high level of discussion and I am grateful for their time and energy. This work was supported by the Hewlett-Packard Company, the Defense Advanced Research Projects Agency (MEMS/NEMS: Science and Technology Fundamentals), the United States Display Consortium, and the NSF (IGERT grant no ).

8 To the optimist the glass is half full. To the pessimist the glass is half empty. To the engineer, the glass is twice as big as it needs to be. -unknown

9 TABLE OF CONTENTS 1. INTRODUCTION OXIDE SEMICONDUCTORS AND THIN-FILM TRANSISTORS Oxide semiconductors Page Transparent conducting oxides overview Zinc oxide Amorphous oxide semiconductors Zinc tin oxide Indium gallium zinc oxide Oxide semiconductor devices: Thin-film transistors Thin-film transistors Oxide semiconductor-based thin-film transistors TFTs with simple oxide layers: ZnO TFTs with simple oxide layers: In 2 O 3, SnO 2, Ga 2 O TFTs with multicomponent oxide layers: ZTO TFTs with multicomponent oxide layers: ZIO TFTs with multicomponent oxide layers: IGO TFTs with multicomponent oxide layers: IGZO Conclusions TFT FABRICATION AND CHARACTERIZATION Thin-film deposition and processing Evaporation Sputtering Plasma-enhanced chemical vapor deposition (PECVD) Atomic layer deposition (ALD) Post-deposition thermal processing Hall measurement Thin-film transistor fabrication

10 TABLE OF CONTENTS (Continued) Page Fully-transparent TTFT Non-transparent TFT Transistor overview Thin-film transistor device characteristics DC current-voltage measurements Threshold voltage and turn-on voltage Mobility Drain current swing and drain current on-to-off ratio Conclusions REACTIVE SPUTTERING OF OXIDE SEMICONDUCTORS Introduction Reactive Zinc Oxide Reactive Zinc Tin Oxide Conclusion THIN-FILM TRANSISTOR DIELECTRIC PERFORMANCE Introduction Experimental Chemical Vapor Deposited (CVD) Silicon Dioxide Silicon Dioxide, Aluminum Oxide, and Silicon Nitride Silane and Tetraethyl Orthosilicate (TEOS)-based CVD

11 TABLE OF CONTENTS (Continued) 5.6 Conclusion OXIDE SEMICONDUCTOR THIN-FILM TRANSISTOR TWO-TERMINAL ASSESSMENT Introduction Page 6.2 Experimental Transistor characteristics Metal-Semiconductor-Metal Current-Voltage Characteristics Conclusion CONCLUSIONS AND RECOMMENDATIONS FOR FUTURE WORK Recommendations for future work BIBLIOGRAPHY

12 LIST OF FIGURES Figure Page 3.1 TFT structures used for the research discussed in this thesis, including (a) fully-transparent thin-film transistor and (b) non-transparent thinfilm transistor (a)the basic structure of a TFT and corresponding energy band diagrams as viewed through the gate for several biasing conditions: (b) equilibrium, (c) V GS <0 V, and (d) V GS >0 V Four general thin-film transistor configurations, including: (a) staggered bottom-gate, (b) coplanar bottom-gate, (c) staggered top-gate, and (d) coplanar top-gate Simplified timing diagram illustrating the applied voltage to the transistor as a function of time Output conductance-gate-to-source voltage (g D -V GS ) characteristic illustrating threshold voltage estimation via extrapolation of the linear portion of this curve to the V GS -axis intercept for an indium gallium zinc oxide semiconductor layer TFT with a width-to-length ratio of 10:1. g D is assessed at V DS = 1 V Log(I D -V GS ) transfer characteristics showing the turn-on voltage, V ON, and the threshold voltage, V T for the same device as shown in Fig The TFT is measured at V DS = 1 V Extracted average mobility (middle-black,) saturation mobility (topdark gray,) and saturation-average mobility (bottom-light gray) characteristics for a single IGZO-based thin-film transistor Log(I D -V GS ) transfer characteristics showing the drain current swing, S, and the drain current on-to-off ratio, I ON OFF D for the same device as shown in Fig The TFT is measured at V DS = 30 V Cross sectional and plan view of a typical bottom-gate TFT Drain current-drain voltage (I D -V DS ) characteristics of a zinc oxide TFT which is fabricated near room temperature, i.e., without intentional substrate heating. V GS is decreased from 40 V (top curve, showing maximum current) to 0 V in 10 V steps Incremental mobility-gate voltage characteristics of a zinc oxide TFT which is fabricated near room temperature, i.e., without intentional substrate heating

13 LIST OF FIGURES (Continued) Figure Page 4.4 Log(I D ) V GS characteristics obtained at V DS = 40 V for two zinc tin oxide TFTs fabricated via reactive rf sputtering which are subjected to a 300 C and 500 C post-deposition anneal Incremental mobility as a function of oxygen partial pressure for zinc tin oxide TFTs which are subjected to a 300 C and 500 C postdeposition anneal Log(I D ) V GS characteristics obtained at V DS = 40 V for two zinc tin oxide TFTs fabricated via reactive dc sputtering which are subjected to a 300 C and 500 C post-deposition anneal DC bias on the target as a function of oxygen partial pressure at a constant sputtering pressure of 30 mtorr and constant power of 50 W. A forward sweep (increasing oxygen partial pressure), backward sweep (decreasing oxygen partial pressure), and the region of optimal zinc tin oxide deposition are shown Simplified cross-sectional view of the device structure used for this chapter. SiO 2 is deposited via PECVD from a silane source, the gate electrode stack and IGZO are deposited via magnetron sputtering, and aluminum pads are deposited via thermal evaporation Log(I D )-V GS transfer characteristics for IGZO-based TFTs utilizing thermally grown silicon dioxide and CVD grown silicon dioxide as the gate insulator. The IGZO semiconductor layer is post-deposition annealed in air at 500 C. (inset) I D -V GS transfer characteristics for the same devices, plotted on a linear scale. The TFTs are measured at V DS = 30 V Extracted incremental mobility characteristics for IGZO-based TFTs utilizing thermally grown silicon dioxide and PECVD grown silicon dioxide as the gate insulator. The IGZO semiconductor layer is postdeposition annealed in air at 500 C. at 500, as shown in Fig Log(I D -V GS V ON ) transfer characteristics for IGZO-based TFTs utilizing various thicknesses of silicon dioxide as the gate insulator. All TFTs are measured at V DS = 10 V Extracted incremental mobility µ INC as a function of gate-to-source voltage for IGZO-based TFTs utilizing various thicknesses of CVD silicon dioxide as the gate insulator

14 LIST OF FIGURES (Continued) Figure Page 5.6 Extracted turn-on-voltage V ON as a function of CVD silicon dioxide thickness Subthreshold swing as a function of CVD silicon dioxide thicknesss for IGZO-based TFTs annealed at 300 C and 500 C The interface state density for each annealing temperature is also indicated Log(I D )-V GS transfer characteristics for IGZO-based TFTs utilizing thermally grown silicon dioxide as the gate insulator. The TFT is measured at V DS = 1 V Log(I D )-V GS transfer characteristics for IGZO-based TFTs utilizing CVD silicon dioxide as the gate insulator. The TFT is measured at V DS = 1 V Log(I D )-V GS ) transfer characteristics for IGZO-based TFTs utilizing ALD deposited aluminum oxide:titanium oxide as the gate insulator. The TFT is measured at V DS = 1 V Log(I D )-V GS ) transfer characteristics for IGZO-based TFTs utilizing ALD deposited aluminum oxide:titanium oxide as the gate insulator. For this TFT, the aluminum oxide:titanium oxide is annealed to 500 C prior to the semiconductor deposition, and the TFT stack is annealed at 300 C after the semiconductor deposition. The TFT is measured at V DS = 1 V Log(I D )-V GS ) transfer characteristics for IGZO-based TFTs utilizing CVD deposited silicon nitride as the gate insulator. The TFT is measured at V DS = 1 V Log(I D )-V GS ) transfer characteristics for IGZO-based TFTs utilizing thermal silicon dioxide, CVD deposited silicon dioxide from a silane (SiH 4 ) precursor and CVD via a TEOS (SiC 8 H 20 O 4 ) precursor. The TFTs are measured at V DS = 1 V Cross sectional view of the test structure used for this chapter. The silicon and silicon dioxide constitute the gate electrode and gate dielectric, respectively. The aluminum pads are the source/drain contacts and indium gallium zinc oxide (IGZO) constitutes the semiconductor layer

15 LIST OF FIGURES (Continued) Figure Page 6.2 Log(I D )-V GS transfer characteristics obtained at V DS = 30 V for an IGZO TFT post-deposition annealed at 300 C. (inset) Drain currentdrain voltage (I D -V DS ) output characteristics for the same device. V GS is decreased from 30 V (top curve, showing maximum current) to 0 V in 10 V steps. Note that the V GS = 10 V and 0 V curves overlap with the x-axis Current-voltage characteristics for an IGZO TFT operated as a twoterminal M-S-M (Al-IGZO-Al) device. Voltage is applied to the drain with the source grounded for two situations: with the gate electrode floating and with V GS = 0 V. The IGZO TFT employed is subjected to a 300 C post-deposition anneal Current-voltage characteristics of four IGZO TFTs operated as twoterminal M-S-M (Al-IGZO-Al). Voltage is applied to the drain with the source grounded and the gate electrode floating. Four different postdeposition anneal temperatures (300(diamond), 400(square), 500(triangle), 600(X) C) are shown Estimated band tail state density distribution (N t (E)) near the conduction band minimum for IGZO annealed at 300, 400, 500, 600 C Estimated band tail state density distribution (N t (E)) near the conduction band minimum for IGZO annealed at 300, 400, 500, 600 C assuming a constant value of N 0 for all annealing temperatures

16 LIST OF TABLES Table Page 2.1 Typical properties of various n-type transparent conducting oxide semiconductors. Eg opt represents the optical band gap, χ represents the electron affinity, T represents the percentage transmitted in the visible portion of the electromagnetic spectrum, m /m e represents the density of states effective mass, µ H represents the Hall mobility, n represents the carrier concentration, and ρ represents the resistivity A summary of IGZO TFT properties for various post-deposition anneal temperatures. Incremental mobility µ INC and turn-on voltage (V ON ) are obtained from three-terminal TFT assessment while space-chargelimited parameters, i.e., characteristic trap energy and temperature, E T and T t, total trap density, N t, trap concentration per unit energy evaluated at the conduction band minimum, N 0, are obtained from twoterminal measurements between the source and drain with the gate floating. The following values are used in the estimation of N t and N 0 : N C = cm 3, µ = 20 cm 2 V 1 s 1, and ε S = Fcm

17 FABRICATION AND CHARACTERIZATION OF THIN-FILM TRANSISTOR MATERIALS AND DEVICES 1. INTRODUCTION Oxide semiconductors composed of post-transition cations with (n-1)d 10 ns 0 (n 4) electronic configurations, such as zinc tin oxide, constitute an interesting class of materials since they are often transparent in the visible portion of the electromagnetic spectrum as a result of their large band gaps (> 3.0 ev), yet also possess relatively high electron mobilities in spite of their amorphous character. [1, 2, 3] When employed as a semiconductor layer in TFT applications, such materials, namely zinc oxide, zinc tin oxide, zinc indium oxide, and indium gallium zinc oxide, have yielded high-performance thin-film transistors (TFTs). [4, 5, 6, 7, 8] Research within this area of oxide electronics has ballooned in recent years, specifically with regard towards the commercialization of oxide-based electronics for use in display technology. [9, 10, 11, 12] These reports primarily focus on the process optimization of the oxide semiconductor for use in TFTs, showing that oxygen content in the ambient during deposition and processing temperature are the key factors affecting TFT performance. [9, 13, 14, 15] Additionally several reports have included demonstration vehicles utilizing oxide-based electronics for use in OLED and E-ink displays. Recently at the 2008 Society of Information Display conference, a 12.1 inch OLED display was demonstrated by Samsung SDI that used IGZO-based TFTs as the active matrix

18 2 backplane. Functional devices have also been fabricated on plastic substrates for flexible electronic applications. [16, 12] Furthermore, issues such as TFT bias stress stability [17, 18, 19, 20] short channel effects [21], light sensitivity [22] and contact resistance [12, 18] have been explored. In addition to display control elements, several other applications have been hypothesized. Depending on deposition conditions, these oxide semiconductors can show sensitivity to humidity or specific gases which make them applicable as sensors. [23, 24, 25, 26] Additionally due to their high performance and ability to be built upon each other in a vertical arrangement, oxide-based TFTs are possible sense and control elements for high density memory applications. [21] Three primary goals are pursued in this thesis, all related to the development of oxide based TFTs or TTFTs: processing parameter effects on reactive sputtering of zinc oxide and zinc tin oxide are explored, TFT characteristics as a function of gate capacitance density are evaluated, and the material choice for use as the gate dielectric are explored. The structure of this thesis is as follows. Chapter 2 contains a review of the pertinent literature and provides the technical background necessary to establish a context within which experimental results can be discussed. Chapter 3 provides a description of important fabrication tools and techniques employed, followed by a discussion of TFT operation and relevant electrical TFT characterization methodology and figure-of-merits. Chapter 4 is devoted to evaluation of processing parameter effects on reactively sputtered zinc oxide and zinc tin oxide. Chapter 5 presents a study evaluating TFT performance

19 3 utilizing indium gallium zinc oxide as the semiconductor layer with varying thicknesses of silicon dioxide and various materials as the gate dielectric. Chapter 6 presents an analysis of band tail state distribution in IGZO semiconductor after post-deposition anneals at various temperatures. Finally, Chapter 7 contains conclusions and recommendations for future work.

20 2. OXIDE SEMICONDUCTORS AND THIN-FILM TRANSISTORS 4 This chapter first provides an overview of oxide semiconductors. Then, the morphology and properties of several oxide semiconductors (zinc oxide, zinc tin oxide (ZTO) and indium gallium zinc oxide (IGZO) are discussed in more detail, as they are used extensively in this work. Finally, the electrical properties of several oxide semiconductorbased TFTs are reviewed. 2.1 Oxide semiconductors This section first summarizes the properties of well-known degenerate oxide semiconductors or transparent conducting oxides (TCOs). Then, several oxide semiconductors (zinc oxide, ZTO, and IGZO) are discussed in more detail, as they are used extensively in this work Transparent conducting oxides overview Transparent conducting oxides (TCOs) constitutes a class of materials which exhibit a large band gap (typically E g 3.1 ev, due to the large electronegativity of oxygen in n-tcos [27]), rendering them highly transparent (80-90%) in the optical portion of the electromagnetic spectrum, as well as high conductivity. In these materials, n-type conduction is derived from two sources: the creation of point defects (such as oxygen vacancies and/or metal interstitials) or extrinsic substitutional doping (typically on the cation site). The prototypical TCO is zinc oxide, in which

21 5 case the point defect is due to either oxygen vacancies or zinc interstitials. In the case of an oxygen vacancy, two valence band sites are not present, therefore the two electrons that would have occupied those sites now occupy conduction band sites and the lattice point corresponding to the oxygen vacancy is left with a localized 2+ charge. In the alternative case of a zinc interstitial, a zinc neutral residing on an interstitial site is reduced to a more thermodynamically stable 2+ state in the process donating two electrons to the conduction band. Zinc oxide can also be extrinsically doped, typically with an aluminum ion on a zinc site. In this case, a 3+ aluminum sits on a 2+ site, resulting in one additional electron in the conduction band and a localized 1+ site. Typically point defect concentrations can be modified during the deposition process by appropriate selection of processing parameters or by subjecting the sample to an oxidizing or reducing post-deposition anneal. The drawbacks of using intrinsic defects as the primary source of conduction are the possibility of film re-oxidation and (typically) lower conductivity compared to extrinsically doped films. The minimum theoretical resistivity limit for n-type TCOs has been predicted by Bellingham et al. as Ω cm. [28] This limit is a consequence of transport that is constrained by ionized impurity scattering (µ < 90 cm 2 V 1 s 1 ) and carrier concentration limitations due to increasing optical reflection with increasing carrier concentration (n < cm 3 for >90% optical transmission). Experimental results for single crystal In 2 O 3 :Sn have approached this theoretical resistivity limit, yielding a minimum resistivity of Ω cm (µ = 42 cm 2 V 1 s 1, n = cm 3 ). [29]

22 6 TCOs are currently utilized in a number of passive applications, including thin-film solar cells and flat-panel displays. SnO 2 is commonly employed in applications where patterning is not required (as SnO 2 is difficult to chemically wet etch) and when high levels of conductivity are not required. Of the commercially available TCOs, In 2 O 3 :Sn is the most conductive. In 2 O 3 :Sn is also easier to etch than SnO 2 and can be deposited at lower temperatures. Unfortunately, the availability of indium is limited, as it is a byproduct of mining ores for other metals (such as zinc and lead). [30] Moreover, indium is not as abundant as other metals; there is 1000 times more zinc (132 ppm) than indium (0.1 ppm) in the earth s crust. [31, 32] Thus, efforts are being made to find a suitable replacement for In 2 O 3 :Sn. Among the materials being explored is ZnO:Al, which is attractive for its ease of etchability, stability in a hydrogen plasma, and low process temperature requirement. [33, 34] Table 2.1 summarizes optical and physical properties of various n-type TCOs. The tabulated electron affinity, χ, is the difference between the vacuum level and conduction band minimum and is assumed to be approximately equal to the work function (the difference between the vacuum level and the Fermi level) for these degenerate semiconductors. Also note that two TCOs, CuInO 2 and ZnO, exhibit bipolar conductivity. A summary of p-type TCOs can be found elsewhere. [35]

23 7 Table 2.1: Typical properties of various n-type transparent conducting oxide semiconductors. E g opt represents the optical band gap, χ represents the electron affinity, T represents the percentage transmitted in the visible portion of the electromagnetic spectrum, m /me represents the density of states effective mass, µh represents the Hall mobility, n represents the carrier concentration, and ρ represents the resistivity. Material E g opt χ T m /me µh n ρ References (ev) (ev) (%) (cm 2 V 1 sec 1 ) (cm 3 ) (Ω cm) CdO x10 3 [31, 36] CuAlO x [37] Cd2SnO x x10 4 [31, 38, 39, 40] In2O [32, 40, 41] InGaO x10 3 [42, 43] InGaZnO x10 3 [44, 45, 46] SnO l, 0.30 t [32, 40, 47] ZnO [32, 40, 43] Ti1 XNbXO x x10 4 [48] Zn2In2O x x10 3 [39, 43, 49] ZnSnO x10 3 [43, 50, 51] Zn2SnO x x10 2 [39, 52, 53] Bipolar conductive material [54, 55, 56] l Longitudinal effective mass t Transverse effective mass

24 2.1.2 Zinc oxide 8 Zinc oxide is one of the most widely used oxide semiconductors. In addition to its utilization as a TCO material, zinc oxide is used in many health care products due to its absorption of the UV portion of the electromagnetic spectrum, light-emitting devices, as well as ceramic-based varistors. The optical band gap for zinc oxide is reported to be between 3.1 and 3.3 ev. Zinc oxide is typically polycrystalline, with a wurtzite structure and preferential grain growth in the c-axis direction (normal to the substrate), even on amorphous substrates. ZnO thin films have been deposited using a number of methods, including reactive sputtering (DC [57], RF [58], ion beam [19]), activated reactive evaporation (ARE) [59], spray pyrolysis [60], metalorganic chemical vapor deposition (MOCVD) [61], and electrochemical reaction [62]. More recently zinc oxide thin films have been fabricated from solution-based precursors. [63, 64, 65] In these films a solution is spin-coated onto the substrate and annealed to drive off the solvent. Zinc oxide is typically an n-type semiconductor, due to an inherent nature of forming an oxygen deficient film. However, recent reports have claimed that p-type doping of the material can be achieved. A high degree of n-type conductivity (> 5000 Ω 1 cm 1 ) is attainable in zinc oxide, due to intrinsic defects, intentional donor doping (Al, In, Ga, B, F) or a combination thereof. Reported thin-film Hall electron mobilities are typically >20 to 30 cm 2 V 1 s 1 ; the maximum mobility obtainable in ZnO single crystals is >200 cm 2 V 1 s 1. There is not a consensus in the literature as to which intrinsic defect (O vacancy or Zn interstitial) is responsible for intrinsic n-type conductivity. P-type conduc-

25 9 tivity has not been convincingly or reproducibly demonstrated, although compensation of n-type doping through the introduction of acceptor impurities is possible. This difficulty in obtaining p-type ZnO is attributed to the phenomenon of self-compensation. [35] Amorphous oxide semiconductors Amorphous oxides composed of post-transition metal cations with (n-1)d 10 ns 0, where n 4, electronic configurations constitute an interesting subcategory of transparent conductors, since they possess relatively high electron mobilities despite their amorphous character. [66, 67, 68] Examples of such materials include indium oxide doped with tin (ITO) [69] and zinc tin oxide [70] for which amorphous-state mobilities as large as 40 and 30 cm 2 V 1 s 1, respectively, have been reported. Such high mobilities in an amorphous material are likely a consequence of a conduction band primarily derived from spherically symmetric, post-transition metal cation ns orbitals. Such orbitals have large radii, leading to a high degree of overlap between adjacent orbitals and considerable band dispersion. Moreover, the spherical symmetry of an s orbital makes delocalized electronic transport less sensitive to local and extended structural order as compared with band formation from anisotropic p or d orbitals. Furthermore, compared to binary oxide semiconductors, multicomponent oxide semiconductors increase the likelihood that the structure will remain amorphous over a wide range of processing conditions. Zinc tin oxide and indium gallium zinc oxide are discussed below, as these materials are used extensively in this work.

26 2.1.4 Zinc tin oxide 10 Zinc tin oxide (ZTO), which is sometimes referred to as zinc stannate, has recently received attention as an alternative TCO. Zinc tin oxide is most generally described as, (ZnO) x (SnO 2 ) 1 x, where 0 < x < 1. There are two crystalline forms of ZTO, trigonal ilmenite (ZnSnO 3, x = 0.5) [71] and spinel (Zn 2 SnO 4, x = 0.66) [52]. As shown by Shen et al., powder mixtures with stoichiometry close to trigonal ilmenite ZTO (ZnSnO 3 ) decompose to spinel ZTO (dizinc tin oxide, i.e. Zn 2 SnO 4 ) and SnO 2 at calcination temperatures above 700 C. [72] Several aspects that make ZTO attractive are its low-cost nature (as zinc and tin are readily available materials), its chemical and electrical stability in highly concentrated (> 35%) HCl solutions and its physical robustness, [50, 53] i.e., no damage to the thin film is visually apparent after scratching with the corner of a razor blade. The zinc tin oxide phase space has been examined by Moriga et al. using dc cosputtering from a ZnO and SnO 2 :Sb (Sb 2 O 5 ) target. [73] For temperatures up to 350 C, films with 0.33 x 0.66 were found to be amorphous. These amorphous films exhibit a constant Hall mobility of 10 cm 2 V 1 s 1. The carrier concentration decreases linearly as the Zn concentration is increased from x = 0.33 (ZnSn 2 O 5 ) to 0.66 (Zn 2 SnO 4 ). The minimum resistivity is Ωcm and occurs at x = Other authors have shown that crystalline spinel ZTO (Zn 2 SnO 4 ) thin films are attainable through the use of a variety of deposition techniques, including electron beam evaporation, [74] rf magnetron sputtering, [39, 52, 53, 75, 76] chemical vapor deposition, [53, 77] and spray pyrolysis [23]. In actuality, rf magnetron sputtered Zn 2 SnO 4 has been

27 11 found to have an inverse spinel structure, in which half of the Zn cations exchange sites with Sn cations. [53, 75] Optical and electrical properties of crystalline ZTO are tabulated in Table 2.1. Spinel ZTO is a direct band gap material with a fundamental band gap of 3.35 ev. Spinel ZTO exhibits a pronounced Burstein-Moss shift. Concomitant with the Burstein-Moss shift is a small relative electron effective mass (0.16m e ). Thus, one would expect the mobility to be quite large since mobility is inversely proportional to the effective mass, i.e., µ = qτ m, (2.1) where τ is the relaxation time and m the effective mass. However, the maximum measured Hall mobility for a rf magnetron sputtered thin-film is only 26 cm 2 V 1 sec 1. Thin films fabricated to date have been limited by intra-grain defects due to atomic disorder, which may not be alleviated even in single crystal growth. [52, 53, 75] Applications of ZTO have been somewhat limited due to its low conductivity, but ZTO has been employed in thin-film solar cell applications [78] and humidity and gas sensors [23, 24, 25, 26] Indium gallium zinc oxide Indium gallium zinc oxide (IGZO) is a wide band gap ( 3.5 ev), n-type semiconductors; its stoichiometry can be generally described as In 2x Ga 2 2x (ZnO) k, where 0 < x < 1 and k is an integer that is greater than 0. [46, 44, 45, 79, 80, 81, 82, 83] Single crystal indium gallium zinc oxide is composed of alternating layers of InO 2 and GaZnO+ 4 ;

28 12 the In 3+ ion has octahedral coordination, the Ga 3+ ion has pentagonal coordination, and the Zn 2+ has tetragonal coordination. [45, 79, 80] Several groups have synthesized bulk samples with varying stoichiometry (both x and k) to appraise the solubility limits of the structure. [79, 80] The intriguing result is that, regardless of k, when x = 0.5 (equal proportions of In and Ga) the structure is preserved. In other words, x = 0.5 constitutes the base compound where all the In 3+ ions are in the InO 2 and all the Ga3+ ions are in the GaZnO + 4 layer. For k 3, the conductivity decreases as k is increased. This trend is observed in both bulk samples [80] and thin films [81], indicating that the conductivity of indium gallium zinc oxide is primarily associated with the In 5s states. However, for k 4, the fraction of Zn becomes increasingly large and Zn begins to contribute to conduction. [81] Considering orbital overlap interaction and comparing the ionic radii of cations in the IGZO system is useful for understanding the shift in the conduction path. The ionic radii of Ga, In, and Zn are 1.27, 1.49, and 1.54 Å, respectively. As the ionic radii of In and Zn are quite similar, it is not surprising that Zn contributes to conduction as the fraction of Zn becomes increasingly large. [81] The structure of single crystal and amorphous InGaZnO 4 thin films ( 250 nm) are examined using extended x-ray absorption fine structure (EXAFS), which is commonly employed to appraise short range order. [46, 84, 85] The nearest-neighbor distances for In-O, Ga-O, and Zn-O in the amorphous film are 0.211, 0.200, and nm, respectively. This short range ordering is similar to that of the single crystal structure (0.218, 0.193, and 0.193, respectively). However, it appears that medium range ordering (second

29 13 nearest-neighbor distances) near the Ga and Zn ions is lost in the amorphous films. Ab initio calculations were performed and are in good agreement with the EXAFS results. Additional calculations show that the In-In second nearest-neighbor coordination number in the amorphous state varies with distance (i.e., 1 to 4 for distances of 0.32 to 0.4 nm) and is significantly lower than in the crystalline structure, which has a coordination number of 6. This indicates that the selective (medium range) coordination of In-In is lost in the amorphous structure. From the experimental and calculated results, the coordination numbers of In-O, Ga-O, and Zn-O are deduced to be 5, 5, and 4, respectively. Finally, pseudoband calculations show that the conduction band minimum is composed of In 5s (consistent with the experimental results discussed in the previous paragraph) and that that amorphous IGZO has an isotropic effective mass of 0.2m e. Amorphous IGZO has been employed in a light-emitting pn heterojunction. [86] The IGZO layer is deposited by pulsed laser deposition (PLD) at room temperature. The carrier concentration and mobility of this layer is cm 3 and 5 cm 2 V 1 s 1. Indium gallium zinc oxide is chosen here for its reasonable conductivity at low processing temperatures and its large band gap ( 3.5 ev). Blue emission ( 430 nm peak) is observed from this pn heterojunction and is due to intrinsic exciton recombination in the single crystal p-lacuose layer (which has a band gap, carrier concentration, and mobility of 2.8 ev, cm 3, and 8 cm 2 V 1 s 1, respectively).

30 2.2 Oxide semiconductor devices: Thin-film transistors 14 As the primary goal of this work is to explore oxide semiconductor-based TFTs a summary of oxide semiconductor-based TFTs is given. A discussion of TFT operation and figure-of-merits is included in Chapter 3. As the work in this field is rapidly increasing, only the most noteworthy works are reviewed here Thin-film transistors Invention of the first field-effect device is often credited to J. E. Lilienfeld, who patented the concept in [87] However, development of the first thin-film transistor (TFT), as it is known today, is credited to P. K. Weimer (1962). [88] These initial n- type TFTs fabricated by Weimer used a top-gate staggered structure with microcrystalline CdS deposited via evaporation as the channel layer. Thermally evaporated SiO (silicon monoxide) was used as the gate dielectric and gold for the gate electrode and source and drain contacts. All patterning was done via shadow masks, channel lengths of 5 to 50 microns were achieved. These early devices exhibited field-effect mobilities on the order of 1.1 cm 2 V 1 s 1 and drain current on-to-off ratios of Since Weimer s initial work, TFTs based on a wide variety of channel materials, including CdS, CdSe, amorphous and polycrystalline silicon, have been developed. Currently, the most dominant TFT technology is based on hydrogenated amorphous silicon (a-si:h), which are commonly employed as control circuitry in active-matrix liquid crystal displays (AMLCDs). High performance a-si:h TFTs typically have field-effect mobil-

31 15 ities of approximately 1.5 to 2.0 cm 2 V 1 sec 1 with a maximum processing temperature of 300 C. [89] A route towards silicon-based TFTs utilizing single crystal silicon has been demonstrated by Menard et al. [90] Beginning with a silicon-on-insulator wafer, micro and nano-scale patterns are etched within the silicon and released from the insulator layer. These micro and nano-sized silicon particles are suspended in an organic solution and subsequently transferred and cured onto a plastic substrate. TFTs fabricated utilizing this process are n-channel and exhibit mobilities as large as 120 cm 2 V 1 s 1 and V ON of -5 V. Multiple circuits have been implemented utilizing this technology: inverters exhibit a gain of 2.6 at a supply voltage of 3 V, 5 stage ring oscillator exhibit a frequency of 8 MHz at a supply voltage of 4 V, and a differential amplifier consisting of a differential pair, current source and current mirror. [91] In addition to silicon TFTs, another class of TFTs consists of those which employ organic materials as the channel layer. [92] These materials exhibit mobilities of cm 2 V 1 s 1. However, the low mobility of these organic TFTs is offset by the low cost of deposition, such as spin coating or printing. In addition, the processing temperature of these devices is below 300 C, allowing for deposition onto plastic substrates. Most of these organic channel materials are p-type. However, an n-type organic TFT has been demonstrated using an organic channel layer. [93] This n-type organic TFT demonstrated mobilities of up to 0.1 cm 2 V 1 s 1. Augmenting organic TFTs, combinations of organics and inorganics, referred to as hybrid materials, have also been explored for TFT applications. [94] Hybrid materials

32 16 use a mixture of organic and inorganic chemicals to attain desired physical and chemical properties. TFTs made from a tin(ii) iodide perovskite, (C 6 H 5 C 2 H 4 NH 3 ) 2 SnI 4, have been fabricated with incremental mobilities of 0.61 cm 2 V 1 s Oxide semiconductor-based thin-film transistors Since the introduction of oxide semiconductor-based thin-film transistors in 2003, a wide variety of n-type oxide materials have been employed for the semiconductor layer. These materials include several simple oxides (ZnO, SnO 2, and In 2 O 3 ) and several amorphous multicomponent oxides (ZTO, zinc indium oxide(zio), indium gallium oxide (IGO), and IGZO). The scope of this research includes discrete TFT performance, circuit integration (ring oscillators), and integration with other technologies (e-ink, liquid crystals, and organic light-emitting diodes). The following subsection does not detail each work. Instead, the general properties associated with each oxide semiconductor and the most noteworthy work is highlighted. References are provided for those interested in obtaining additional information TFTs with simple oxide layers: ZnO ZnO was the first and most widely employed oxide semiconductor for TFTs, with over 10 institutions publishing reports on its use. [95, 15, 96, 97, 4, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 63] These reports employ a variety of deposition techniques for fabrication, including rf sputtering, ion beam sputtering, solution-based deposition, and pulsed laser deposition (PLD).

33 The initial reports of ZnO-based TFTs by Masuda et al. and Hoffman et al. in 2003 ignited the research of transparent TFTs. These devices were fabricated with different deposition methods (PLD and ion beam sputtering) and utilized fairly high temperature processing ( C). These devices utilized either atomic layer deposited aluminum oxide:titanium oxide or plasma enhanced chemical vapor deposited silicon nitride. The resultant devices exhibited drain current on-to-off ratios (I on o f f D ) up to 10 7 and channel mobilities in the range of 0.01 to 2.5 cm 2 V 1 s 1. Additionally, ambient light had little effect on device performance. More recently, several authors have explored ZnO-based TFTs with rf sputtering that do not require intentional post-deposition annealing treatments. [98, 102] It is interesting to note that these authors successfully implement drastically different deposition parameters for forming their ZnO layers (high pressure/low power and low pressure/high power for Carcia et al. and Fortunato et al., respectively). In these cases, e-beam evaporated aluminum oxide and atomic layer deposited aluminum oxide:titanium oxide are used as the gate dielectrics. The electrical properties of ZnO-based TFTs are shown to be strongly influenced by the O 2 partial pressure in the deposition ambient; TFTs with mobilities approaching 25 cm 2 V 1 s 1 were demonstrated. Additionally, ZnO-based TFTs on flexible polyimide substrates were also demonstrated. [98] Solution-based deposition techniques, including spin coating and chemical bath deposition have been employed to fabricate ZnO-based TFTs. [63, 64, 65] The reports using spin-coating employ a high temperature post-deposition anneal to attain adequate crystallization and device performance. Norris et al. spin a zinc nitrate precursor solution (zinc 17

34 nitrate hexahydrate and glycine) and anneal at 700 C. The channel mobility and I on o f f D of these devices is 0.2 cm 2 V 1 s 1 and 10 7, respectively. [63] Lee et al. incorporate zirconium isopropoxide in their sol-gel precursor to suppress the free carrier density of 18 their films. Spin-coated Zn 0.97 Zr 0.03 O TFTs annealed at 500 C exhibited channel mobilities, V T, and I on o f f D of 0.3 cm 2 V 1 s 1, 4.7 V, and 10 6, respectively. [64] Cheng et al. utilize a chemical bath containing zinc nitrate and dimethylamineborane at 60 C to grow ZnO films. TFTs fabricated with these films exhibited channel mobilities and I on o f f D of 0.25 cm 2 V 1 s 1 and 10 5, respectively. [65] Contributions enhancing the understanding of oxide-based TFT operation have been made by Hossain et al. and Hoffman. [97, 4] Hossain et al. analyze grain boundary effects (modeled as a two-sided Schottky barrier) for polycrystalline ZnO TFTs. These simulations show a decrease in grain boundary barrier height with increasing free carrier concentration and a decrease in channel mobility as the number of grain boundaries in the channel increases. Hoffman explains the intricacies of mobility extraction and discusses mobility characteristics of ZnO-based TFTs. Understanding mobility extraction is essential to this work and is discussed in detail in Sec Cross et al. report the bias-stress stability of zinc oxide based TFTs. [20] Biasstress instabilities are caused by two different mechanisms. Low field instabilities are a result of charge trapping at or near the dielectric-semiconductor interface concurrent with negligible change in subthreshold swing characteristics. At higher fields, instabilities are the result of trap-state creation concurrent with degraded subthreshold swing characteristics. The demarkation between low-field stress and high-field stress is found to be 30

35 19 V for devices with 150 nm thick silicon dioxide as the gate dielectric, corresponding to an applied electric field of 2 MVcm 1. The threshold voltage shifted in the direction of the applied voltage, with a positive bias-stress inducing a positive shift and a negative bias-stress inducing a negative shift. Cross et al. report also on the bias-stress stability of zinc oxide based TFTs utilizing either a silicon dioxide or a silicon nitride gate dielectric. In these cases the gate dielectric is deposited via a high temperature process (>1000 C), with the semiconductor and source and drain deposited at room temperature. In these devices, the bias stability exhibited different characteristics, however silicon nitride appeared to exhibit better bias-stress stability. Levy et al. report on the fabrication of the gate dielectric and semiconductor layer via atomic layer deposition. [113] Zinc oxide TFTs are fabricated with temperatures below 200 C, exhibiting mobilities as high as 20 cm 2 V 1 s 1 and no visible hysteresis. Additionally ring oscillators are demonstrated exhibiting 31 ns propagation delays through each stage. Hirao et al. and Park et al. have utilized ZnO-based TFTs in active-matrix displays. [103, 104] Hirao et al. have fabricated AMLCDs with staggered top-gate ZnO TFTs which utilize a SiN x gate insulator. These TFTs exhibit a channel mobility of 50 cm 2 V 1 s 1. Park et al. have fabricated a transparent AMOLED display with coplanar bottom-gate ZnO TFTs which utilize an Al 2 O 3 gate insulator. Additionally, the ZnO deposition process utilized by Park et al. is compatible with plastic substrates. These dis-

36 20 play prototypes show that ZnO TFTs can be integrated into useful applications and that multiple ZnO TFTs can operate simultaneously. Yamauchi et al. report on fabrication of an integrated zinc oxide TFT-OLED device. [114] Zinc oxide is deposited via sputtering. The OLED is deposited directly on the TFT drain material (aluminum doped zinc oxide), such that the aluminum doped zinc oxide constitutes both the drain for the TFT and electron injection material. When ITO is used as the drain material/oled contact, no luminance is detected indicating that zinc oxide is necessary for electron injection TFTs with simple oxide layers: In 2 O 3, SnO 2, Ga 2 O 3 SnO 2 and In 2 O 3 are not commonly employed as the TFT semiconductor layer due to difficulty in suppressing/controlling the carrier concentration, which leads to highly negative threshold voltages. To compensate for this, Presley et al. utilize extremely thin semiconductor layers (10-20 nm) to control V T of SnO 2 -based TFTs. [115] Wang et al. address this issue through the use of ion-assisted deposition, in which the carrier concentration of the layer is controlled (10 17 to cm 3 in In 2 O 3 films) by adjusting the O 2 partial pressure and ion beam power. Using this technique, In 2 O 3 -based TFTs with organic self-assembled dielectrics were fabricated. [116] Additionally, Ga 2 O 3 is not commonly employed as a TFT semiconductor layer due to low mobility values. Matsuzaki et al. report on polycrystalline Ga 2 O 3 -based TFTs with field-effect mobilities of 0.05 cm 2 V 1 s 1 at a processing temperature of 550 C.

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole University of Groningen The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays

Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays ELECTRONICS Sputtering Target of Oxide Semiconductor with High Electron Mobility and High Stability for Flat Panel Displays Miki MIYANAGA*, Kenichi WATATANI, and Hideaki AWATA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers

Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Front. Optoelectron. 2015, 8(4): 445 450 DOI 10.1007/s12200-014-0451-1 RESEARCH ARTICLE Simulation study on the active layer thickness and the interface of a-igzo-tft with double active layers Xiaoyue

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2215 2219 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Threshold voltage shift

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

THERE is considerable interest in adapting amorphous

THERE is considerable interest in adapting amorphous IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 4, APRIL 2014 1109 Electrical Instability of Double-Gate a-igzo TFTs With Metal Source/Drain Recessed Electrodes Gwanghyeon Baek, Linsen Bie, Katsumi

More information

Numerical Modeling of Flexible ZnO Thin-Film Transistors Using COMSOL Multiphysics

Numerical Modeling of Flexible ZnO Thin-Film Transistors Using COMSOL Multiphysics Numerical Modeling of Flexible ZnO Thin-Film Transistors Using COMSOL Multiphysics by Chunyan Nan A thesis presented to the University of Waterloo in fulfillment of the thesis requirement for the degree

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Transparent thin-film transistors with zinc indium oxide channel layer

Transparent thin-film transistors with zinc indium oxide channel layer JOURNAL OF APPLIED PHYSICS 97, 064505 2005 Transparent thin-film transistors with zinc indium oxide channel layer N. L. Dehuff, E. S. Kettenring, D. Hong, H. Q. Chiang, a and J. F. Wager School of Electrical

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Channel Protection Layer Effect on the Performance of Oxide TFTs

Channel Protection Layer Effect on the Performance of Oxide TFTs Channel Protection Layer Effect on the Performance of Oxide TFTs Sang-Hee Ko Park, Doo-Hee Cho, Chi-Sun Hwang, Shinhyuk Yang, Min Ki Ryu, Chun-Won Byun, Sung Min Yoon, Woo-Seok Cheong, Kyoung Ik Cho, and

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain. S. Janfaoui*, C. Simon, N. Coulon, T.

Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain. S. Janfaoui*, C. Simon, N. Coulon, T. Author manuscript, published in "Solid-State Electronics 93 (2014) 1-7" DOI : 10.1016/j.sse.2013.12.001 Behavior of the parameters of microcrystalline silicon TFTs under mechanical strain S. Janfaoui*,

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

AN ABSTRACT OF THE THESIS OF

AN ABSTRACT OF THE THESIS OF AN ABSTRACT OF THE THESIS OF Kevin A. Stewart for the degree of Master of Science in Electrical and Computer Engineering presented on February 13, 2015. Title: Al-In-Sn-O Thin-Film Transistors Abstract

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

UCLA UCLA Electronic Theses and Dissertations

UCLA UCLA Electronic Theses and Dissertations UCLA UCLA Electronic Theses and Dissertations Title Low-Temperature UV-Assisted Fabrication of Metal Oxide Thin Film Transistor Permalink https://escholarship.org/uc/item/0hg898rb Author Zhu, Shuanglin

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Electrical, optical and structural properties of transparent and conducting ZnO thin. films doped with Al and F by rf magnetron sputter

Electrical, optical and structural properties of transparent and conducting ZnO thin. films doped with Al and F by rf magnetron sputter Electrical, optical and structural properties of transparent and conducting ZnO thin films doped with Al and F by rf magnetron sputter B.G. Choi 1), I.H. Kim *, D.H Choi 1), K.S. Lee, T.S. Lee, B. Cheong,

More information

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN A thesis submitted in partial fulfillment of the requirement for the degree of Bachelor of Arts / Science in Physics from The College of

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer www.nature.com/scientificreports Received: 27 February 2017 Accepted: 24 August 2017 Published: xx xx xxxx OPEN The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization

More information

Romanian Academy Institute of Physical Chemistry Ilie Murgulescu. PhD THESIS SUMMARY

Romanian Academy Institute of Physical Chemistry Ilie Murgulescu. PhD THESIS SUMMARY Romanian Academy Institute of Physical Chemistry Ilie Murgulescu PhD THESIS SUMMARY CORRELATIONS BETWEEN STRUCTURAL AND PHYSICO - CHEMICAL PROPERTIES OF THE SEMICONDUCTOR OXIDES WITH OPTIC, SENSORISTIC

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Free Electron Model What kind of interactions hold metal atoms together? How does this explain high electrical and thermal conductivity?

Free Electron Model What kind of interactions hold metal atoms together? How does this explain high electrical and thermal conductivity? Electrical Good conductors of heat & electricity Create semiconductors Oxides are basic ionic solids Aqueous cations (positive charge, Lewis acids) Reactivity increases downwards in family Mechanical Lustrous

More information

RightCopyright 2006 American Vacuum Soci

RightCopyright 2006 American Vacuum Soci Title Gallium nitride thin films deposite magnetron sputtering Author(s) Maruyama, T; Miyake, H Citation JOURNAL OF VACUUM SCIENCE & (2006), 24(4): 1096-1099 TECHNOL Issue Date 2006 URL http://hdl.handle.net/2433/43541

More information

Free Electron Model What kind of interactions hold metal atoms together? How does this explain high electrical and thermal conductivity?

Free Electron Model What kind of interactions hold metal atoms together? How does this explain high electrical and thermal conductivity? Electrical Good conductors of heat & electricity Create semiconductors Oxides are basic ionic solids Aqueous cations (positive charge, Lewis acids) Reactivity increases downwards in family Free Electron

More information

Thin film silicon technology. Cosimo Gerardi 3SUN R&D Tech. Coordinator

Thin film silicon technology. Cosimo Gerardi 3SUN R&D Tech. Coordinator Thin film silicon technology Cosimo Gerardi 3SUN R&D Tech. Coordinator 1 Outline Why thin film Si? Advantages of Si thin film Si thin film vs. other thin film Hydrogenated amorphous silicon Energy gap

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Solar Cells and Photosensors.

Solar Cells and Photosensors. Designing Photonic Crystals in Strongly Absorbing Material for Applications in Solar Cells and Photosensors. Minda Wagenmaker 1, Ebuka S. Arinze 2, Botong Qiu 2, Susanna M. Thon 2 1 Mechanical Engineering

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre Energy Efficient Glazing Design John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre 2 John Ridealgh 30th November 2009 Talk Outline Pilkington Group Limited & NSG Group

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS A. Valla, P. Carroy, F. Ozanne, G. Rodriguez & D. Muñoz 1 OVERVIEW Description of amorphous / crystalline

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder SLAC-TN-12-021 Design & Fabrication of a High-Voltage Photovoltaic Cell Jennifer Felder Office of Science, Science Undergraduate Laboratory Internship (SULI) North Carolina State University SLAC National

More information

Organic Solar Cells. Green River Project

Organic Solar Cells. Green River Project Organic Solar Cells Green River Project Silicon Cells Silicon semiconductors Advantages: Efficiencies Lifetimes Disadvantages: High manufacturing costs Inflexible http://en.wikipedia.org Organic semiconductors

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

Production of PV cells

Production of PV cells Production of PV cells MWp 1400 1200 Average market growth 1981-2003: 32% 2004: 67% 1000 800 600 400 200 0 1991 1992 1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 rest 1.0 1.0 1.0 2.0 4.0

More information

Overview of electroceramic materials for oxide semiconductor thin film transistors

Overview of electroceramic materials for oxide semiconductor thin film transistors J Electroceram (2014) 32:117 140 DOI 10.1007/s10832-013-9858-0 FEATURE ARTICLE Overview of electroceramic materials for oxide semiconductor thin film transistors Jin-Seong Park & H. Kim & Il-Doo Kim Received:

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Characterization Of Aluminum Doped Zinc Oxide Thin Films For Photovoltaic Applications

Characterization Of Aluminum Doped Zinc Oxide Thin Films For Photovoltaic Applications University of Central Florida Electronic Theses and Dissertations Masters Thesis (Open Access) Characterization Of Aluminum Doped Zinc Oxide Thin Films For Photovoltaic Applications 2010 Bojanna P. Shantheyanda

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

DEVELOPMENT OF HIGH EFFICIENCY FLEXIBLE CdTe SOLAR CELLS

DEVELOPMENT OF HIGH EFFICIENCY FLEXIBLE CdTe SOLAR CELLS DEVELOPMENT OF HIGH EFFICIENCY FLEXIBLE CdTe SOLAR CELLS A.Romeo, M. Arnold, D.L. Bätzner, H. Zogg and A.N. Tiwari* Thin Films Physics Group, Laboratory for Solid State Physics, Swiss Federal Institute

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Packaging Technologies for SiC Power Modules

Packaging Technologies for SiC Power Modules Packaging Technologies for SiC Power Modules Masafumi Horio Yuji Iizuka Yoshinari Ikeda ABSTRACT Wide bandgap materials such as silicon carbide (SiC) and gallium nitride (GaN) are attracting attention

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Session 1A4a AC Transport, Impedance Spectra, Magnetoimpedance

Session 1A4a AC Transport, Impedance Spectra, Magnetoimpedance Session 1A4a AC Transport, Impedance Spectra, Magnetoimpedance Magneto-impedance of [Co 40Fe 40B 20/Cu] Multilayer Films S. U. Jen, T. Y. Chou, C. K. Lo,.................................................................

More information

High Rate low pressure PECVD for barrier and optical coatings

High Rate low pressure PECVD for barrier and optical coatings High Rate low pressure PECVD for barrier and optical coatings, Matthias Fahland, John Fahlteich, Björn Meyer, Steffen Straach, Nicolas Schiller Outline Introduction PECVD New developments magpecvd arcpecv

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Engineering 45: Properties of Materials Final Exam May 9, 2012 Name: Student ID number:

Engineering 45: Properties of Materials Final Exam May 9, 2012 Name: Student ID number: Engineering 45: Properties of Materials Final Exam May 9, 2012 Name: Student ID number: Instructions: Answer all questions and show your work. You will not receive partial credit unless you show your work.

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Synthesis and properties of Boron doped ZnO thin films by spray CVD technique at low substrate temperature

Synthesis and properties of Boron doped ZnO thin films by spray CVD technique at low substrate temperature Synthesis and properties of Boron doped ZnO thin films by spray CVD technique at low substrate temperature Sunanda C. Yadav* Thin film Physics laboratory, Department of Electronics, Shivaji University,

More information

2007 PLACE Conference September 16-20 St Louis, MO Nano-Composite Polymer Optical Coatings Vampire Optical Coatings, Inc. Tom Faris vcoat@copper.net Introduction What is a nano-composite polymer coating?

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Redacted for Privacy

Redacted for Privacy AN ABSTRACT OF THE THESIS OF Hai Q. Chiang for the degree of Master of Science in Electrical And Computer Engineering presented on August 7, 2003. Title: Development of Zinc Tin Oxide- Thin-Film Transistors.

More information

"ITO Film Trend for Touch Panel Applications"

ITO Film Trend for Touch Panel Applications AIMCAL Web Coating Conference 2011 October 23-26 Reno, Nevada USA 1 "ITO Film Trend for Touch Panel Applications" Teijin Chemicals Ltd. Haruhiko Itoh 2 Content 1. Structure and Features of TCF 2. Touch

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study

Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study JOURNAL OF APPLIED PHYSICS 97, 073519 2005 Electronic structure and x-ray-absorption near-edge structure of amorphous Zr-oxide and Hf-oxide thin films: A first-principles study SungKwan Kim, a Yangsoo

More information

Available online at ScienceDirect. Energy Procedia 92 (2016 ) Mathieu Boccard*, Nathan Rodkey, Zachary C.

Available online at  ScienceDirect. Energy Procedia 92 (2016 ) Mathieu Boccard*, Nathan Rodkey, Zachary C. Available online at www.sciencedirect.com ScienceDirect Energy Procedia 92 (2016 ) 297 303 6th International Conference on Silicon Photovoltaics, SiliconPV 2016 High-mobility hydrogenated indium oxide

More information

Cadmium Oxide Nano Particles by Sol-Gel and Vapour- Liquid-Solid Methods

Cadmium Oxide Nano Particles by Sol-Gel and Vapour- Liquid-Solid Methods Nano Vision, Vol.1 (1), 47-53 (2011) Cadmium Oxide Nano Particles by Sol-Gel and Vapour- Liquid-Solid Methods S. SAKTHIVEL* and D. MANGALARAJ 1 *PG and Research Department of Physics, Rajah Serfoji Govt.

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

Applications of Successive Ionic Layer Adsorption and Reaction (SILAR) Technique for CZTS Thin Film Solar Cells

Applications of Successive Ionic Layer Adsorption and Reaction (SILAR) Technique for CZTS Thin Film Solar Cells NANO VISION An International Open Free Access, Peer Reviewed Research Journal www.nano-journal.org ISSN 2231-2579 (Print) ISSN 2319-7633 (Online) Abbr: Nano Vision. 2013, Vol.3(3): Pg.235-239 Applications

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

TUTORIAL ON SPUTTER DEPOSITION

TUTORIAL ON SPUTTER DEPOSITION MATERION ADVANCED CHEMICALS COATING MATERIALS NEWS September 2011 Volume 22 Issue 1 TUTORIAL ON SPUTTER DEPOSITION NEW ON THE WEB Non-Silicon Thin-Film PV Materials Progress and Challenges by - David A.

More information

Polycrystalline CdS/CdTe solar cells

Polycrystalline CdS/CdTe solar cells Polycrystalline CdS/CdTe solar cells Al Compaan Distinguished University Professor of Physics, Emeritus (Lecture for Heben/Ellingson solar cells class) March 3, 2011 1 Absorption spectra of various semiconductors

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information