Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea

Size: px
Start display at page:

Download "Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea"

Transcription

1

2 Company name Established 05 JAN, 2000 Eugene Technology Co., Ltd. CEO Pyung Yong Um Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Address Main Products Home Page Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea Thermal LP-CVD & Plasma Process Eugene Tech is R&D oriented company, which is specialized in Single-Wafer Process Solution for Diffusion i area including Thermal LPCVD and Plasma Treatment processes. Up coming 450mm Convergence, foreseeable increased needs on Single-Wafer Process! JDA World 1 st 450mm Equipment ISMI/CNSE, Albany, NY JDA with Hynix 200/300mm Single wafer type ; LPCVD Nitride/Oxide/Poly Process 300mm Single wafer type ; Plasma Nitridation/Oxidation Process JDA with SAMSUNG 200/300mm Single wafer type ; LPCVD Nitride/Poly Process 300mm Single wafer type ; Plasma Nitridation/Oxidation Process Engineering Manpower over 80% R&D Key Member Name Position Major Career PY UM CEO Hynix, Teradyne, Brooks Auto. Dr. YW KIM CTO PhD Plasma Eng., VP R&D in Hynix SW SHIN Exec Dir 20 Yrs in Hynix R&D DJ KIM Exec Dir 19 Yrs in Samsung & Hynix Dr. JK KIM Exec Dir 20 Yrs in Hynix SH WOO Exec Dir 14 Yrs in Hynix R&D DK LEE Director 15 Yrs in Samsung R&D YD KIM Manager 15 Yrs in Samsung & Hynix R&D HW KIM Manager 10 Yrs in Hynix R&D Dr. Sergey Z Manager PhD Moscow Power Eng. Institute, LG Electric Eugene Technology 2

3 Thermal LPCVD Blue Jay TM Plasma Treatment System Albatross Very Low Thermal budget Excellent Uniformity Less particle generated Diverse Process Applications Very Thin Layer Deposition Nitride /Oxide /Poly Eugene Tech-patented Plasma Source Dual Rotated Spiral Antenna ICP Antenna Quartz Cylinder Low Electron Temperature ~ 1.0 ev Plasma Damage Free High Density 4E+12 Wide Process Windows 2 Zone 1 T.C Heater (100 ~800 ) Metal Contamination Free Nitridation /Oxidation Eugene Technology 3

4 Dual Rotated Spiral Antenna(DuRoSA) ICP(Inductively Coupled Plasma)Source Properties. High Plasma Density : > 4.0E12 atoms/cm 3. Plasma Damage Free : ~ 1 ev. Heater : 100 ~800. N-Concentration range 3.3 ~30 atomic% Arial 1 ST Antenna Coil (PCW Cooling) Gas Inlet Port 2 ND Antenna Coil (PCW Cooling) Quartz Tube Antenna Support. Patent No. : , , Eugene Technology 4

5 Cyclic CVD Various Spacer Deposition ii available with ih - Excellent Thickness Uniformity - Good Loading Effect - Excellent Step Coverage Very Low Temperature Deposition -50 ~ Suppress Thermal Degradation Excellent Wet Etch Rate - Thermal Ox < Cyclic CVD < HTO (0.5Å/Sec)* (1.0Å/Sec)* (1.9Å/Sec)* *300:1 BOE Application for Sacrifice Layer - Wet Etch Rate Controllability by Densification process technique - Patented t process and system High Throughput - up to 180WPH Silicon Nitride Silicon Oxide Easy Maintenance Eugene Technology 5

6 ~ ~ Application Expansion (LPCVD & Plasma) Expanding to Expanding to Expanding to Expanding to Expanding to 6 layers 12 layers 23 layers 45 layers 75 layers 100+ layers 5Xnm 4Xnm 3Xnm 2Xnm Cyclic CVD SiN, SiO 2 Cyclic CVD Seeding Poly Logic, Flash, DRAM 100+ layers Oxide LOGIC Oxidation DRAM Poly DRAM Oxidation FLASH Poly LOGIC Oxide FLASH 45 layers 75 layers Nitridation FLASH 23 layers Nitridation DRAM Poly FLASH 12 layers Nitride LOGIC Nitride DRAM Eugene Technology 6

7 Logic EUROPE Eugene Technology., Ltd., Korea Eugene Technology., Inc., USA Logic Logic DRAM Logic 450mm NAND CHINA NAND DRAM Eugene Technology., Inc., c, Taiwan a Logic DRAM NOR With our Single-Wafer Process technology & knowhow, we can deliver optimal customized process solutions to various clients. As the device geometry scaling down, demand on less thermal exposure & precise Single-Wafer process will prevail. And, Eugene Technology is certainly one of the solution providers. Eugene Technology 7

8 450mm Single Wafer LPCVD Process System World 1 st 450mm at ISMI/CNSE,Albany,NY NY Capability One Process Chamber with Dual process capability Poly and SiN Very Low Thermal budget Excellent Uniformity Less particle generated Diverse Process Applications Very Thin Layer Deposition Eugene Technology 8

9 Pumping cross section view Process Module Pumping cross section view Eugene Technology 9

10 Process Chamber closed Process Chamber open Eugene Technology 10

11 System Overview Category Activation Source Description Thermal (Resistance heating type) Flow Dynamics Shower Head Pressure Control Baratron Gauge & Throttle Valve MFC Control Digital type System Interface Device Net In-situ Chamber Clean Remote Plasma Cleaning (NF3) Material Ceramic AlN Heater Temperature Control 2 zone 2 T.C. Lifetime Target 1 yr (TBD) Process Chamber Max Multi 4 Chamber / Cluster tool Sub Module Dry Pump Each PM & 1 TM Pump Scrubber Burn type Eugene Technology 11

12 Various Process Applications & Solutions Process Silicon Nitride Silicon Oxide Undoped Silicon Precursor SiH4 Si2H6 NH3 N2O Source (doping) Gas PH3 0.1%N2 B2H6 0.1% H2 300mm Status Field Proven & Mass Product Under develop for Low Temperature (~550 ) Field Proven & Mass Product Under Develop for Low Temperature (~550 ) Field Proven & Mass Product Field Proven & Mass Product n-type Field Proven & Mass Product Silicon Field Proven & Mass Product p-type Silicon Field Proven (p-type doping at 500 ) Field Proven (p-type doping at 500 ) Eugene Technology 12

13 Category April May June July Month Aug Sep Oct Nov Dec Kickoff & Pre-Design Design Kickoff & Pre-design Design Review Manufacturing S2/S8 Site Preparation Source Inspection Approval for technical Issues Shipping to Dock Shipping Tool Dock Tool Installation/ Qualification Tool Installation Qual for SL1 Qual for SL2 & Tool Released Eugene Technology 13

14 DRAM Design 8F 2 Conv 6F 2 BG 6F 2 BG 4F 2 Pillar 4F 2 Pillar LPCVD Design Process & System Evaluation Production Plasma System Cyclic CVD POLY, SiN, SiO 2 Process & Design System Production Evaluation Plasma Nitridation, Plasma Oxidation, Plasma Doping Process & Design System Production Evaluation SiO 2, SiN, POLY 2 nd Half of 2010: 1 st draft design, Specification review, & Terms & Conditions Discussion. 1 st Half of 2011: Terms & Conditions agreed and Contract completed. Manufacturing begins including system integration with other modules & components. Continuous discussion with SEMATECH/ISMI 2 nd Half of 2011: 1 st 450mm LPCVD system Fab in at CNSE, Albany, New York 2012 & beyond: Successful process development with SEMATECH/ISMI. 450mm LPCVD Oxide, Plasma Nitridation & Oxidation, and Cyclic CVD will be available. And, Eugene Tech would like to offer all available process solutions to SEMATECH/ISMI for further collaboration together. Eugene Technology 14

15 Processes: Robust Diffusion process with good uniformity and film quality Productivity: Meet current 300mm s performance by 2013 Achieving successful outcome through Strong Collaboration between three parties; SEMATECH/ISMI, IDMs, and Eugene Technology SEMATECH /ISMI Eugene Tech IDMs Eugene Technology 15

16 Thank you! Q&A Eugene Technology 16

Company Overview JDA. JDA with Hynix. JDA with SAMSUNG. Engineering Manpower over 80% R&D Key Member Name Position Major Career

Company Overview JDA. JDA with Hynix. JDA with SAMSUNG. Engineering Manpower over 80% R&D Key Member Name Position Major Career Company Overview Company name Eugene Technology Co., Ltd. Established 05 JAN, 2000 CEO Pyung Yong Um Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Address Main Products Home Page 209-3 Chugeri,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CORIAL D500. Large capacity batch system for 24/7 production environment

CORIAL D500. Large capacity batch system for 24/7 production environment CORIAL D500 Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film deposition from 120 C

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Non-contractual document, specifications subject to change without notice.

Non-contractual document, specifications subject to change without notice. 1 ANNEALSYS designs and manufactures Rapid Thermal Processing (RTA, RTCVD) Direct Liquid Injection (DLI-CVD, DLI-ALD) systems for research laboratories and companies for semiconductor, MEMS, nanotechnologies,

More information

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL Atomic Layer Deposition process solutions using FlexAL and OpAL Introduction to Self limiting digital growth Atomic Layer Deposition () offers precisely controlled ultra-thin films for advanced applications

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Corial D500 No mechanical cleaning

Corial D500 No mechanical cleaning Corial D500 No mechanical cleaning Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Technical Solution Company HEATER JACKET. Light weight. TPOH - Series. ECO friendly

Technical Solution Company HEATER JACKET. Light weight. TPOH - Series. ECO friendly Technical Solution Company HEATER JACKET Light weight TPOH - Series ECO friendly Company Vision The world best part maker Business philosophy Challenge constantly and Set a goal Our executives and staff

More information

Oxidation SMT Yau - 1

Oxidation SMT Yau - 1 Oxidation Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Forecast of Used Equipment Market Based on Demand & Supply

Forecast of Used Equipment Market Based on Demand & Supply Forecast of Used Equipment Market Based on Demand & Supply 2013. 06. 05 Thomas LEE Ⅰ. Market Introduction 300 200 150 _ Wafer Demand by Devices Type and Used Equipment Targets 20 to 0.13 0.13 to 0.5 >

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing Pulsed Nucleation Layer of Tungsten Nitride arrier Film and its Application in DRAM and Logic Manufacturing Kaihan Ashtiani, Josh Collins, Juwen Gao, Xinye Liu, Karl Levy Novellus Systems, Inc. 4 N. First

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 10 Oxidation 2001 2000 by Prentice Hall Diffusion Area of Wafer Fabrication Wafer fabrication (front-end)

More information

Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets

Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets Forecast of Used Equipment Market Based on Demand & Supply 03. 19.2013 Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets 300 mm 20 nm to 0.13 μm Computing Microprocessors

More information

enabling tomorrow s technologies FirstNano EasyTube Systems powered by SEMI - S2/S8 and CE compliant

enabling tomorrow s technologies FirstNano EasyTube Systems powered by SEMI - S2/S8 and CE compliant powered by FirstNano EasyTube Systems SEMI - S2/S8 and CE compliant www.cvdequipment.com www.firstnano.com FirstNano EasyTube Systems Overview Configurable CVD systems for advanced research & development

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Linx Consulting, Inc.

Linx Consulting, Inc. STRATEGIC COST MODEL A Collaborative Development between Linx Consulting and IC Knowledge Background Based on real world product process flows and device roadmaps, with modifications for the latest process

More information

TECHNICAL SPECIFICATIONS

TECHNICAL SPECIFICATIONS TECHNICAL SPECIFICATIONS FOR THE SUPPLY OF A PECVD by LIQUID SOURCE PRECURSOR FOR SCUOLA SUPERIORE SANT ANNA ALLEGATO A LOTTO 1 PROCEDURA APERTA IN LOTTI PER LA FORNITURA DI APPARECCHIATURE SCIENTIFICHE

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Chapter 7 Polysilicon and Dielectric Film Deposition

Chapter 7 Polysilicon and Dielectric Film Deposition Chapter 7 Polysilicon and Dielectric Film Deposition Professor Paul K. Chu Thin Films in Microelectronics Polycrystalline silicon or polysilicon Doped or undoped silicon dioxide Stoichiometric or plasma-deposited

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Mask Substrate/Blank Cleaning Progress Challenges

Mask Substrate/Blank Cleaning Progress Challenges Accelerating the next technology revolution Mask Substrate/Blank Cleaning Progress Challenges Arun JohnKadaksham and Frank Goodwin SEMATECH, Albany, NY 10/06/2013 Copyright 2012 SEMATECH, Inc. SEMATECH,

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Device Fabrication: CVD and Dielectric Thin Film

Device Fabrication: CVD and Dielectric Thin Film Device Fabrication: CVD and Dielectric Thin Film 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

PlasmaPro TM System100 & System133. Modular tools for wafer processing100 TM System100 & System133 Modular tools for wafer processing100 System100 & System133 Plasma etch & deposition process modules Oxford Instruments System100 and System133 process modules are built on 200mm

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID: STEP 0.00 - PHOTOMASK #0- ZERO LEVEL MARKS Starting materials is n-type silicon (5-10 ohm-cm). Add four test wafers labeled T1-T4. T1 and T2 will travel with the device wafers and get all of the processing

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

4. Process Integration: Case Studies

4. Process Integration: Case Studies Case Study #2: FCantilevered Microgripper Surface Machined MEMS Case Study #2: FCantilevered Microgripper Sandia Lucent Sandia Integrated Accelerometers Optomechanical Systems Integrated Sensors 1 Bulk

More information

450mm Transition Update

450mm Transition Update SEMICON Japan 2010 Accelerating Manufacturing Productivity 450mm Transition Update SEMICON Japan December 1, 2010 Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Plasma CVD passivation; Key to high efficiency silicon solar cells, "Plasma CVD passivation; Key to high efficiency silicon solar cells", David Tanner Date: May 7, 2015 2012 GTAT Corporation. All rights reserved. Summary: Remarkable efficiency improvements of silicon solar

More information

Energy and resource savings in Subfab

Energy and resource savings in Subfab Energy and resource savings in Subfab Andreas Neuber, Kent Lee Hsinchu, Dec. 4, 2015 Content ITRS benchmarks Energy consumption Focus areas Subfab Best Practices Future Outlook 2 ITRS Facilities Technology

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

Wafer Thinning Using a Versatile, State-of-the-Art Single Wafer Processor

Wafer Thinning Using a Versatile, State-of-the-Art Single Wafer Processor Wafer Thinning Using a Versatile, State-of-the-Art Single Wafer Processor October 7, 2014 Semiconductor Equipment Company DAINIPPON SCREEN MFG. CO., LTD. By Mark Goeke Product Manager Dainippon SCREEN

More information

Advanced solar cell production requires a systems-level approach to achieve abatement goals

Advanced solar cell production requires a systems-level approach to achieve abatement goals Advanced solar cell production requires a systems-level approach to achieve abatement goals Words: Chris Jones, Senior Product Manager, Edwards Vacuum Solar panel manufacturers strive to minimize cost

More information

Photovoltaics. Thin film equipment from Oxford Instruments. The Business of Science

Photovoltaics. Thin film equipment from Oxford Instruments. The Business of Science Photovoltaics Thin film equipment from Oxford Instruments The Business of Science Photovoltaics Plasmalab System100Pro and Plasmalab System133Pro Flexible systems for front end PV research With over 20

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

Semiconductor Abatement Systems

Semiconductor Abatement Systems Semiconductor Abatement Systems Aug 2013 Jay Jung VP of Marketing What is the customer looking for? Capacity, Capability & Commitment GST Confidential 2 Capacity Size Engineering Capacity Production Capacity

More information

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco.

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco. Memory Devices In Korea now, Samsung : 2010, 30nm 2Gb DDRS DRAM/DDR3 SRAM 2011, Invest US $12 bil. for 20nm & SysLSI. Hynix : 2010, 26nm MLC- NAND Flash 2011, 30nm 4Gb DRAM At 2020, the demands of computing

More information

Why silicon? Silicon oxide

Why silicon? Silicon oxide Oxidation Layering. Oxidation layering produces a thin layer of silicon dioxide, or oxide, on the substrate by exposing the wafer to a mixture of highpurity oxygen or water at ca. 1000 C (1800 F). Why

More information

Lam Research Corporation

Lam Research Corporation Lam Research Corporation 2012 Analyst & Investor Meeting 1 Safe Harbor Statement This presentation contains certain forward looking statements, including, our ability to execute our growth strategies,

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

中國集成元件生產製造設備之開發條件. The Development Requirements of Semiconductor Equipmentfor the China IC Industry. Fusen Chen, John Arima and Shawming Ma

中國集成元件生產製造設備之開發條件. The Development Requirements of Semiconductor Equipmentfor the China IC Industry. Fusen Chen, John Arima and Shawming Ma Semicon China 2016 Build China IC Manufacturing Ecosystem 做大做大做强中国集成中国集成电路产业链 中國集成元件生產製造設備之開發條件 The Development Requirements of Semiconductor Equipmentfor the China IC Industry Fusen Chen, John Arima and

More information

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK FULLY INTEGRATED PLASMA SOURCE PLATFORM FOR HIGH-FLOW AND HIGH-PRESSURE, REACTIVE-GAS PROCESSES The high-efficiency Xstream platform, mounted outside

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Process Challenges for 1S-1R Crossbar Memory

Process Challenges for 1S-1R Crossbar Memory Process Challenges for 1S-1R Crossbar Memory W. Kim, M. Frei and M. Pakala OUTLINE Background Crossbar Memory as Storage Class Memory (SCM) Chalcogenide Materials: PCM, OTS Selector Crossbar patterning

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

is kept at a minimum with low power densities of less than 50 mw/cm 2.

is kept at a minimum with low power densities of less than 50 mw/cm 2. Production Performance Success with a High Throughput PECVD System David Lishan 1, Ken Mackenzie 1, Mike Fresina 2, Doug Wend 2, John Erickson 2, and Dave Johnson 1 1 Unaxis Wafer Processing, St. Petersburg,

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

University of Minnesota Nano Center Standard Operating Procedure

University of Minnesota Nano Center Standard Operating Procedure Equipment Name: University of Minnesota Nano Center PECVD Coral Name: pecvd Revision Number: 2.0 Model: Plasmatherm 340 Revisionist: Mark Fisher Location: Bay 3 Date: 20 Sept 2013 1 Description The Plasmatherm

More information

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 217 ISSN(Print) 1598-1657 https://doi.org/.5573/jsts.217.17.2.277 ISSN(Online) 2233-4866 A Study on Thermal Stability Improvement in

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Nitride Deposition SOP Page 1 of 14 Revision

Nitride Deposition SOP Page 1 of 14 Revision Nitride Deposition SOP Nitride Deposition SOP Page 1 of 14 1 Scope 1.1 This document provides the procedures and requirements to deposit silicon nitride films, using the Canary LPCVD furnace. 2 Table of

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Energy is one of the mega-trends driving the world

Energy is one of the mega-trends driving the world Gas Usage and Cost Management in Photovoltaics and High-Brightness LEDs By Dr. An i s h To l i a A view of the gases critical to PV and HB-LED manufacturing, and how they determine the cost-effectiveness

More information

Magnetron Sputter Cathodes planar & rotatable. Linear ion sources. Reactive gas controller & endpoint detector

Magnetron Sputter Cathodes planar & rotatable. Linear ion sources. Reactive gas controller & endpoint detector GENCOA products cover 3 sputtering related areas Magnetron Sputter Cathodes planar & rotatable Reactive gas controller & endpoint detector Linear ion sources Other activities include on-site process implementation,

More information

Fluxless soldering using Electron Attachment (EA) Technology

Fluxless soldering using Electron Attachment (EA) Technology Fluxless soldering using Electron Attachment (EA) Technology Proprietary, patented innovation for wafer level packaging applications including wafer bump and copper pillar reflow. Air Products has partnered

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Midterm evaluations. Nov. 9, J/3.155J 1

Midterm evaluations. Nov. 9, J/3.155J 1 Midterm evaluations What learning activities were found most helpful Example problems, case studies (5); graphs (good for extracting useful info) (4); Good interaction (2); Good lecture notes, slides (2);

More information

Microwave Plasma Processing

Microwave Plasma Processing Microwave Plasma Processing MUEGGE GMBH Hochstraße 4-6 64385 Reichelsheim Fon +49 (0) 6164-93 07 11 Fax +49 (0) 6164-93 07 93 info@muegge.de www.muegge.de Microwave Plasma Processing Microwave Plasma Technology:

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

How can MOCVD enable production of cost efficient HB LED's

How can MOCVD enable production of cost efficient HB LED's How can MOCVD enable production of cost efficient HB LED's Dr. Frank Schulte AIXTRON SE Company and Market Market requests and challenges Answer from the technology Conclusion P 2 Confidential Proprietary

More information