Processing of III-Nitride (I) (Courtesy of Grace Xing at Notre Dame)

Size: px
Start display at page:

Download "Processing of III-Nitride (I) (Courtesy of Grace Xing at Notre Dame)"

Transcription

1 Processing of III-Nitride (I) (Courtesy of Grace Xing at Notre Dame) Outline: 1. Introduction 2. Dry etching Slide # 1

2 Introduction Hynix semiconductor Slide # 2

3 Introduction Hynix semiconductor Slide # 3

4 Plasma Etching Basic method of plasma etching Chemical etching Energetic ion enhanced etching Sputtering etching Protective ion enhanced etching Hynix semiconductor Slide # 4

5 Plasma etching Hynix semiconductor Slide # 5

6 Plasma etching Hynix semiconductor Slide # 6

7 GaN dry etch stop technology and its application to Gate Recessing in AlGaN/GaN HEMTs Dario Buttari et al, UCSB Problem: Obtain a reproducible etch depth Possible Approaches Timed Etch Etch Stop Layer Low Power Plasma Etching on AlGaN Low power Cl 2 dry etch: Poor Reproducibility Influence of surface oxides and residual oxygen in the chamber Solution: BCl 3 chemistry Selectively etch of GaN over AlGaN Advantages Optimization Etch characterization results Slide # 7

8 Time controlled etch Timed Controlled Etch minor variations in etching power and gas composition cause major variation in etch rate therefore etch depth Slide # 8

9 Selectively etch Etch Stopper Minor variations in etching power and gas composition cause variations in etch rate but minor variation in etch depth Slide # 9

10 HEMT Output Characteristics (timed etch) Depletion mode Gate leakage Tolerable (has to be improved) Enhancement mode Plasma power: 15W 200s 230A etch depth Original AlGaN x=0.35 thickness 280A Slide # 10

11 Transconductance and linearity Device dimensions: Lg:0.8um Wg:75um Lgs:0.7um Lgd:1.0um 80% Criteria Slide # 11

12 Poor Etch Repeatability (timed etch) Same conditions Nominally identical samples Slide # 12

13 Etch Depth Dead Time Original AlGaN x=0.3 thickness: 250A on SiC substrate Etch Depths determined by AFM Slide # 13

14 Oxidizers on Silicon Technics Planar Etch PEIIA Plasma System 300mT Electrode frequency: 30kHz Slide # 14

15 Surface Oxide Dead Time Thinner.oxide Thicker Plasma power: 15W 200s 10sccm Cl 2 10mTorr Original AlGaN x=0.35 thickness 290A Slide # 15

16 Boron Tetrachloride No Dead Time Thinner.oxide Thicker Plasma power: 15W 200s 10sccm BCl 3 10mTorr Original AlGaN x=0.35 thickness 290AA Slide # 16

17 Oxide Etching Way to check oxide removal GaN in Cl 2 Incomplete oxide removal by wet etch? AlGaN in Cl 2 Plasma power: 15W 200s 10sccm Cl 2 10mTorr 3um Semi-insulating GaN buffer Plasma power: 15W 200s 10sccm Cl 2 10mTorr Original AlGaN x=0.35 thickness 290A Slide # 17

18 Residual Oxygen Dramatic Sensitivity Reduced Sensitivity GaN in Cl 2 AlGaN in Cl 2 Plasma power: 15W 200s 10sccm Cl 2 10mTorr Original AlGaN x=0.35 thickness 290AA Plasma power: 15W 200s 10sccm Cl 2 10mTorr 3um Semi-insulating GaN buffer Slide # 18

19 Oxygen Scavenger AlGaN in BCl 3 GaN in BCl 3 Plasma power: 15W 200s 10sccm BCl 3 10mTorr Original AlGaN x=0.35 thickness 290A Plasma power: 15W 200s 10sccm BCl 3 10mTorr 3um Semi-insulating GaN buffer Slide # 19

20 Surface Morphology Cl 2 BCl 3 9.6Å rms 2.8Å rms Plasma power: 15W 200s 10sccm 10mTorr Original AlGaN x=0.35 thickness 290A Slide # 20

21 Summary and AlGaN etch stop Problem: Reproducible recess depth on AlGaN Source of irreproducibility: Oxides on the surface of AlGaN Cl 2 : poor deoxidation characteristics BCl 3 : deoxidizer and oxygen scavenger Etch stopper AlGaN Pretreatment chemistry BCl 3 Etching chemistry Cl-based (GaCl 3 and AlCl 3 are volatile) BCl 3 /SF 6 Etch-inhibition chemistry F-based (AlF 3 is not volatile) Slide # 21

22 Overview of selective etch of GaN/AlGaN by ICP UCSB facility Determination of selectivity Selectivity and its dependence on RF power (and ICP power) Pressure Damange? Slide # 22

23 Selectivity: laser interferometry Slide # 23

24 Dependence on power RF power does not have strong control on selectivity, similar results hold for ICP power Selectivity should be affected at low power levels, but at the expense of etch rate Etch rate increases linearly with RF power, which controls damage Slide # 24

25 Dependence on pressure Selectivity improves with increasing chamber pressure Etch rate is almost constant Slide # 25

26 Evaluation of etch damage Z scale is 7 nm for both AFM as grown rms = 0.14 nm after BCl3, rms = 0.21 nm No or very little interaction Sample GaN on sapphire BCl 3 treatment does not change morphology: AFM of surface before (left) and after (right) the treatment Slide # 26

27 Etch damage after a deep etch Sample: GaN on sapphire Same etch depth: 500 nm Different etch conditions correspond to different tested selectivity 20% SF 6 is the best choice Slide # 27

28 Surface roughness is function of etch rate Slide # 28

Influence of high Al fraction on reactive ion etching of AlGaN/GaN heterostructures

Influence of high Al fraction on reactive ion etching of AlGaN/GaN heterostructures Optica Applicata, Vol. XLIII, No. 1, 2013 DOI: 10.5277/oa130103 Influence of high Al fraction on reactive ion etching of AlGaN/GaN heterostructures JACEK GRYGLEWICZ *, ANDRZEJ STAFINIAK, MATEUSZ WOŚKO,

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco.

Memory Devices. Ki-Nam Kim, President, Institut of Technology Samsung Electronics, 2010 IEDM, San Francisco. Memory Devices In Korea now, Samsung : 2010, 30nm 2Gb DDRS DRAM/DDR3 SRAM 2011, Invest US $12 bil. for 20nm & SysLSI. Hynix : 2010, 26nm MLC- NAND Flash 2011, 30nm 4Gb DRAM At 2020, the demands of computing

More information

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM 490 Etch Recipes. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM 490 Etch Recipes Dr. Lynn Fuller Professor, Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

EXTREMELY HIGH SELECTIVITY ETCHING OF GaAs/AlGaAs IN INDUCTIVELY COUPLED PLASMAS

EXTREMELY HIGH SELECTIVITY ETCHING OF GaAs/AlGaAs IN INDUCTIVELY COUPLED PLASMAS EXTREMELY HIGH SELECTIVITY ETCHING OF GaAs/AlGaAs IN INDUCTIVELY COUPLED PLASMAS J. W. Lee, M. W. Devre, B. H. Reelfs, D. Johnson and J. N. Sasserath Plasma-Therm Inc., St. Petersburg, FL 33716 F. Clayton

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Researching the Aluminum Nitride Etching Process for Application in MEMS Resonators

Researching the Aluminum Nitride Etching Process for Application in MEMS Resonators Micromachines 2015, 6, 281-290; doi:10.3390/mi6020281 Article OPEN ACCESS micromachines ISSN 2072-666X www.mdpi.com/journal/micromachines Researching the Aluminum Nitride Etching Process for Application

More information

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys

Chapter 5 Epitaxial Growth of Si 1-y C y Alloys Chapter 5 Epitaxial Growth of Si 1-y C y Alloys 5.1 Introduction Traditionally, the incorporation of substitutional carbon into silicon and silicongermanium alloys during growth is of great interest for

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

HBr Etching of Silicon

HBr Etching of Silicon NNCI ETCH WORKSHOP Cornell University May 25, 2016 HBr Etching of Silicon Vince Genova CNF Research Staff CNF TCN, page 1 Characteristics of HBr based etching of Silicon HBr plasmas tend to be somewhat

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Plasma..TI'1eITI1 I.P.

Plasma..TI'1eITI1 I.P. Plasma..TI'1eITI1 I.P. RPPI..ICRTION NOTES PLASMA ETCHING OF SIUCON NITRIDE AND SIUCON DIOXIDE Silicon nitride and silicon dioxide thin films find e variety of uses in both semiconductor and nonsemiconductor

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Paradee Leerungnawarat. Department of Materials Science and Engineering

Paradee Leerungnawarat. Department of Materials Science and Engineering Paradee Leerugawarat Departmet of Materials Sciece ad Egieerig Itroductio What is SiC? Why SiC? SiC has may advatages over Si. It is widely used for high power, high temperature electroic devices. Why

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

4-NM AlN BARRIER ALL BINARY HFET WITH SiN x GATE DIELECTRIC

4-NM AlN BARRIER ALL BINARY HFET WITH SiN x GATE DIELECTRIC International Journal of High Speed Electronics and Systems Vol. 19, No. 1 (29) 153 159 World Scientific Publishing Company 4-NM AlN BARRIER ALL BINARY HFET WITH SiN x GATE DIELECTRIC TOM ZIMMERMANN, YU

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

SILICON carbide (SiC) is one of the attractive wide band

SILICON carbide (SiC) is one of the attractive wide band 1362 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 3, JUNE 2004 Magnetically Enhanced Inductively Coupled Plasma Etching of 6H-SiC D. W. Kim, H. Y. Lee, S. J. Kyoung, H. S. Kim, Y. J. Sung, S. H. Chae,

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Ultrascaled GaN HEMTs with thin AlN barriers

Ultrascaled GaN HEMTs with thin AlN barriers Ultrascaled GaN HEMTs with thin AlN barriers Huili (Grace) Xing Electrical Engineering Department, University of Notre Dame Ft transport physics in GaN 1 Outline AlN/GaN HEMTs Highest mobility with highest

More information

Development of low roughness, low resistance bottom electrodes for tunnel junction devices

Development of low roughness, low resistance bottom electrodes for tunnel junction devices Development of low roughness, low resistance bottom electrodes for tunnel junction devices Designing and assembly of a new annealing setup for 150mm wafers David Filipe Coelho de Almeida Aurélio Setembro

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

OUTLINE. Preparation of III Nitride thin 6/10/2010

OUTLINE. Preparation of III Nitride thin 6/10/2010 Preparation of III Nitride thin films for LEDs Huaxiang Shen Supervisor: Dr. Adrian Kitai 1 2 Two kinds of EL devices Light emitting diodes Powder EL and thin film EL http://en.wikipedia.org/wiki/file:pnjunction

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Cornell NanoScale Facility Dry Etch Capabilities

Cornell NanoScale Facility Dry Etch Capabilities NNCI Etch Workshop Cornell University May 24, 2016 Cornell NanoScale Facility Dry Etch Capabilities Vince Genova CNF Research Staff CNF TCN, page 1 Dry Etch Systems High Density Plasma (ICP): PlasmaTherm

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Growth of copper oxide thin films for possible transparent electronic applications

Growth of copper oxide thin films for possible transparent electronic applications Chapter 4 Growth of copper oxide thin films for possible transparent electronic applications 4.1 Introduction The first reported semiconductor metal oxide was cuprous oxide in 1917 by Kennard et al [230].

More information

5 th Quarterly Report EPRI Agreement W July 1- September 30, 1999

5 th Quarterly Report EPRI Agreement W July 1- September 30, 1999 5 th Quarterly Report EPRI Agreement W08069-07 July 1- September 30, 1999 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, A.

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Electron Microscopy Sciences Industry Road. P.O. Box 550. Hatfield, PA Introduction. DuraSiNTM

Electron Microscopy Sciences Industry Road. P.O. Box 550. Hatfield, PA Introduction. DuraSiNTM DuraSiNTM Electron Microscopy Sciences Introduction DuraSiN TM Film and Mesh products have revolutionized the way samples are prepared for and analyzed in the transmission electron microscope. DuraSiN

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

RightCopyright 2006 American Vacuum Soci

RightCopyright 2006 American Vacuum Soci Title Gallium nitride thin films deposite magnetron sputtering Author(s) Maruyama, T; Miyake, H Citation JOURNAL OF VACUUM SCIENCE & (2006), 24(4): 1096-1099 TECHNOL Issue Date 2006 URL http://hdl.handle.net/2433/43541

More information

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Paul C. McIntyre Department of Materials Science & Engineering Geballe Laboratory for Advanced Materials Stanford

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

(12) United States Patent (10) Patent No.: US 9,653,584 B2

(12) United States Patent (10) Patent No.: US 9,653,584 B2 USOO9653.584B2 (12) United States Patent (10) Patent No.: Glass et al. (45) Date of Patent: May 16, 2017 (54) PRE-SCULPTING OF SI FIN ELEMENTS (58) Field of Classification Search PRIOR TO CLADDING FORTRANSISTOR

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

High rate reactive magnetron sputtering of ZnO:Al films from rotating metallic targets

High rate reactive magnetron sputtering of ZnO:Al films from rotating metallic targets High rate reactive magnetron sputtering of ZnO:Al films from rotating metallic targets H. Zhu 1, 2, *, J. Hüpkes 1, E. Bunte 1 1 IEF5-Photovoltaik, Forschungszentrum Jülich GmbH, D-52425 Jülich, Germany

More information

Characterization of thin Gd 2 O 3 magnetron sputtered layers

Characterization of thin Gd 2 O 3 magnetron sputtered layers Characterization of thin Gd 2 O 3 magnetron sputtered layers Jacek Gryglewicz * a, Piotr Firek b, Jakub Jaśiński b, Robert Mroczyński b, Jan Szmidt b a Wroclaw University of Technology, Janiszewskiego

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

SIMS Quantification of Matrix and Impurity Species in Al x Ga 1-x N

SIMS Quantification of Matrix and Impurity Species in Al x Ga 1-x N SIMS Quantification of Matri and Impurity Species in Al Ga 1- N Abstract C. J. Gu a, F. A. Stevie a*, C. J. Hitzman b, Y. N. Saripalli c, M. Johnson c, D. P. Griffis a a Analytical Instrumentation Facility,

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch Chapter 1.6 I - Substrate Specifications Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table Diameter 100 mm 4-inch 150 mm 6-inch Thickness 525 µm 20.5 mils 675

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Inductively Coupled Plasma Etching of Bulk Titanium for MEMS Applications

Inductively Coupled Plasma Etching of Bulk Titanium for MEMS Applications 0013-4651/2005/152 10 /C675/9/$7.00 The Electrochemical Society, Inc. Inductively Coupled Plasma Etching of Bulk Titanium for MEMS Applications E. R. Parker, a, * B. J. Thibeault, b M. F. Aimi, c M. P.

More information

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE

More information

Precision Optical Engineering

Precision Optical Engineering Precision Optical Engineering Products: Prisms Windows Mirrors Flats and Master angles Sight Glasses Key Features: Prisms (Contacted, Cemented, AR coated, Mounted) Windows (Flat, wedged, curved, drilled,

More information

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Scott D. Szymanski March Plasma Systems Concord, California, U.S.A. sszymanski@marchplasma.com

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication

Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication Inductive Coupled Plasma (ICP) Textures as Alternative for Wet Chemical Etching in Solar Cell Fabrication 1 Motivation 2 Experimental setup 3 ICP textures as alternative technique 3.1 Surface morphology

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating Dr Tony Williams Gencoa Ltd, UK Victor Bellido-Gonzalez, Dr Dermot Monaghan, Dr Joseph Brindley, Robert Brown SVC 2016,

More information

Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN

Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN Modeling and Electrical Characterization of Ohmic Contacts on n-type GaN Sai Rama Usha Ayyagari Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial fulfillment

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Device Fabrication: Metallization

Device Fabrication: Metallization Device Fabrication: Metallization 1 Applications: Interconnection 2 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l,

More information

ETCH OVERVIEW FOR MICROSYSTEMS

ETCH OVERVIEW FOR MICROSYSTEMS ETCH OVERVIEW FOR MICROSYSTEMS MEMS Leaf Spring - expands and contracts aboe the substrate [Graphics courtesy of Khalil Najafi, Uniersity of Michigan] SCME Etch for Microsystems Learning Module Unit Oeriew

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Silicon Oxides: SiO 2

Silicon Oxides: SiO 2 Silicon Oxides: SiO 2 Uses: diffusion masks surface passivation gate insulator (MOSFET) isolation, insulation Formation: grown / native thermal: highest quality anodization deposited: C V D, evaporate,

More information

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide TRANSACTIONS ON ELECTRICAL AND ELECTRONIC MATERIALS Vol. 15, No. 4, pp. 207-212, August 25, 2014 Regular Paper pissn: 1229-7607 eissn: 2092-7592 DOI: http://dx.doi.org/10.4313/teem.2014.15.4.207 Correlation

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements G. A. Cirino a, P. Verdonck a, R. D. Mansano a, L. G. Neto b a LSI-PEE-EPUSP

More information

Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures

Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.277/oa1319 Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures WOJCIECH MACHERZYŃSKI *, KORNELIA INDYKIEWICZ, BOGDAN PASZKIEWICZ

More information