Electromigration behavior of 60 nm dual damascene Cu interconnects

Size: px
Start display at page:

Download "Electromigration behavior of 60 nm dual damascene Cu interconnects"

Transcription

1 JOURNAL OF APPLIED PHYSICS 102, Electromigration behavior of 60 nm dual damascene Cu interconnects Jung Woo Pyun, a Won-Chong Baek, Lijuan Zhang, b Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650, The University of Texas at Austin, Austin, Texas , USA Larry Smith and Gregory Smith SEMATECH, 2706 Montopolis Dr., Austin, Texas 78741, USA Received 5 June 2007; accepted 11 September 2007; published online 8 November 2007 Electromigration EM reliability was investigated for Cu fine lines fabricated using a SiON trench filling process down to 60 nm in linewidth. EM was observed to be dominated by intrinsic failures due to void formation in the line trench. The lifetimes of 60 nm lines were longer than those of 125 nm lines with the standard damascene structure which can be attributed to a distinct via/metal-1 configuration with less process-induced defects at the via interface. The line scaling effect on EM reliability was investigated using three linewidths: 60, 110, and 185 nm. EM lifetimes were found to be similar for different linewidths, consistent with intrinsic failures caused by void formation in the line trench driven by interfacial mass transport. Statistical, multilinked EM test structures demonstrated a monomodal failure distribution for the fine lines, indicating suppression of processing-induced extrinsic defects although processing control on line dimension and geometry remained an issue. The activation energies were found to be around 0.80 ev for both fine lines and standard lines, indicating that interface diffusion dominates mass transport in Cu lines American Institute of Physics. DOI: / I. INTRODUCTION In fabrication of Cu damascene interconnects, chemical mechanical polishing CMP generates a significant amount of process defects on the upper surface of Cu lines, making the interface between Cu and the cap layer the dominant diffusion path for electromigration EM. 1 4 With scaling of the line dimensions, the current density and the ratio of the interface to volume continue to increase. Combined with the implementation of low k dielectrics and thus more processing defects being generated, this raises a basic question concerning the scaling and processing effects on EM reliability. In a previous study, we found that with scaling of the linewidth down to 125 nm, the probability of early failures induced by process-induced defects increased due to the difficulty of controlling the integration process. 5 This paper investigates the scaling and processing effects on EM reliability of Cu dual damascene structures to a linewidth of 60 nm. In this paper, ultrafine lines down to 60 nm were fabricated by refilling with a SiON layer to reduce the trench width while keeping the other line dimensions the same with those of the 125 nm line. The advantage of this process is that the final trench width can be controlled by varying the thickness of the filling material. As it turned out, the trenchfilling process yielded a distinct via/ M1 interface, which helped to eliminate the extrinsic void evolution at the via bottom. This made possible the investigation of intrinsic EM reliability for fine lines. In this study, we first compare the EM reliability of 60 nm lines by SiON filling with 125 nm lines by standard processing. Then this is followed by a study of line scaling effects on EM for three linewidths: 60, 110, and 185 nm with downstream current flow. Finally, we perform EM tests with multilinked structures to investigate early failure statistics for the 60 nm Cu lines. II. EXPERIMENTAL DETAILS Two-level M1, via, and, M2 EM test structures were designed to investigate EM reliability for the Cu interconnects. The trench-filling process using SiON to produce narrow lines was applied only to the M1 level. As shown in Fig. 1, the EM tests performed in this study were all with downcurrent electron flow, where the electron current flowed from a wide and short M2 level to a narrow and long M1 level. This arrangement facilitated the observation and analysis of failure sites and void formation at the M1 line. In addition to single-link structures, multilinked test structures were fabricated to determine the statistics of intrinsic strong-mode failures and process-induced extrinsic weak-mode early failures. 6 Ten N=10 and one hundred N=100 lines were connected in series by using short 10 m M2 lines and long 150 m M1 lines. EM test samples were fabricated at SEMATECH using dual-damascene process on 300 mm wafers. The intermetal dielectric layer for study was silicon oxide. As shown in Fig. 2, the SiON filling layer was deposited after trench formation, followed by Ta barrier deposition and Cu electroplating. a Electronic mail: jw.pyun@samsung.com b Electronic mail: ljzhang@mail.utexas.edu FIG. 1. Schematic diagram of a two level M1/via/M2 EM test structure with a down current electron flow condition /2007/102 9 /093516/5/$ , American Institute of Physics

2 Pyun et al. J. Appl. Phys. 102, FIG. 3. CDF plots of down stream EM test structures with SiON filling layer 60 nm and without SiON filling layer 125 nm. EM test was performed at T=270 C and j=1.0 MA/cm 2. For strong-mode EM failure with void formation driven by interfacial mass transport in the line trench, Hu et al. 7 deduced the following expression for EM lifetime: = L cr / d = L cr hkt/ s D i F i, 1 FIG. 2. Color online Schematics of SiON filling process scheme: a trench formation, b SiON deposition + Ta barrier deposition + copper deposition, d CMP, and d cross-sectional TEM image showing 60 nm M1 trench with SiON filling. Using this process, the trench width was reduced from 130 to 60 nm. The Ta barrier thicknesses, shown in Fig. 2 d, atthe trench bottom and sidewall were 13 and 6 nm, respectively. The patterned wafers were diced to yield test chips which were mounted and electrically connected to a ceramic package by wire-bonding using Al wires. Package-level EM tests were performed in a vacuum chamber with a backfilled pure nitrogen environment at 20 Torr, heated at a rate of 5 C/min to the target temperatures and with a current density of 1.0 MA/cm 2. Resistance increase due to void formation was monitored to determine the EM lifetime. The time of 10% resistance increase was taken as the EM lifetime. Based on the lifetime data, the cumulative distribution function CDF was obtained as a function of time. The EM failed samples were examined by transmission electron microscopy TEM to analyze the damage formation in the line structure. III. RESULTS AND DISCUSSION A. Effect of SiON filling on EM reliability To investigate the effect of via interfacial defects, EM tests were performed on test structures with 60 nm lines with a SiON filling layer and 125 nm lines with standard processing. The EM lifetimes obtained at 270 C and 1.0 MA/cm 2 are compared as shown in Fig. 3. Interestingly, the samples with a narrower 60 nm linewidth and SiON filling show a longer lifetime and somewhat larger standard deviation than the control samples of 125 nm linewidth without SiON filling. where L cr is the critical void length to cause line failure, d is the net drift velocity, h is the line thickness, k is the Boltzmann s constant, T is the absolute temperature, s is the effective thickness of the interface region, D i is the Cu/ dielectric interface diffusivity, and F i is the EM driving force at the interface. Accordingly, when mass transport is dominated by interfacial diffusion, the EM lifetime is only related to the metal line thickness, h. Since the line thicknesses for the two sets of test structures are the same, their lifetimes are expected to be the same under identical test conditions. This, however, is not consistent with the results observed for the 60 and the 125 nm line structures. To help understand this unexpected result, crosssectional TEM was employed to examine the damage formation in the EM test samples. The cross-sectional TEM images and the structure schematics are compared in Fig. 4 for these two types of test structures. As shown in Fig. 4 c, the SiON filling made the M1 trench width narrow enough to be fully covered by the via bottom. This unique interface structure between the via and M1 for the 60 nm lines was able to prolong the EM lifetime by about twofold as shown in Fig. 3. When an EM-induced void forms in a standard sample with no SiON filling and grows as wide as the M1 trench on top of the cathode end of M1, such a sample fails most probably by an open circuit. In contrast, for a sample with the SiON layer, the EM current can be shunted to the Ta barrier even when M1 trench is vacated with EM-induced void. The sample will fail only when a void extends to the Ta barrier and the resistance becomes large enough to induce Joule heating to burn out the structure. In addition, the unique M1/ via interface structure can eliminate the most probable void formation sites for early failures. These defects usually occur at the interface between the bottom portion of the via sidewall and the M1 trench top. Therefore, only the intrinsic effect, devoid of the extrinsic effect, through the increased mass transport can affect the EM lifetime of the SiON-filled lines. To further understand the failure mechanism, resistance

3 Pyun et al. J. Appl. Phys. 102, FIG. 4. TEM images of dual-damascene Cu interconnects. M1 trench width was reduced from 130 a to 60 nm c using the filling SiON layer, and the schematics, b and d, are shown on the right-hand side of each TEM image. traces were monitored and the results for the two different linewidths are shown in Fig. 5. Compared with the 125 nm lines, the 60 nm lines showed a more progressive resistance increase followed by an abrupt increase. Such a behavior indicates that as the void forms and grows in the M1 line, the Ta barrier at the via bottom serves as a redundant layer for current flow. In contrast, all the 125 nm lines without SiON filling showed an abrupt resistance increase indicating void formation underneath the via. In this case, since the Ta barrier at the via bottom did not completely cover the linewidth to serve as a redundant layer, the line failed abruptly as observed. Since the current density in the M1 trench was kept constant for both samples with different linewidths, a higher current was applied to the wider, 125 nm line. Consequently, the current density in the via was higher for the wider line than for the finer 60 nm line. This resulted in a higher current crowding for the wider line near the via bottom which can also contribute to the shorter EM lifetime for the wider lines. An EM failed sample with 60 nm line was examined using cross-sectional TEM. As shown in Fig. 6, a large void near the cathode was found along the M1 line as highlighted by a white boundary while the via bottom was intact. This demonstrates a strong-mode trench voiding mechanism with the void growing extensively in the metal trench region. FIG. 5. Resistance changes of downstream EM test structures a with SiON filling layer 60 nm and b without SiON filling layer 125 nm. EM test was performed at 270 C, with a current density of 1.0 MA/cm MA/cm 2. The trench widths for these line structures before SiON filling were 125, 175, and 250 nm, respectively. The CDF plots obtained for EM tests performed under the downstream electron flow condition are shown in Fig. 7. The results revealed that the CDF was independent of the M1 linewidths employed. This is consistent with that predicted by Eq. 1 for a strong mode EM failure with void formation in line trenches driven by interfacial mass transport, where the EM lifetime is only related to the metal line thickness, h. In this case, since the line thicknesses are identical for these test structures, their lifetimes should be the same under identical test conditions as observed in Fig. 7. This mode of void formation was confirmed for the SiON filling samples as TEM revealed voids present in the M1 trench as shown in Fig. 6. In this case, the L cr can be set equal to the via diameter where intrinsic void forms and grows at the cathode end. B. Linewidth effect on EM among SiON-filled samples To understand the linewidth scaling effect on EM for the samples with a SiON trench-filling layer, EM tests were performed for M1 lines with three different widths of 60, 110, and 185 nm at 300 C and a current density of FIG. 6. TEM image showing a large cathode void in M1 trench for an EM failed 60 nm wide interconnect.

4 Pyun et al. J. Appl. Phys. 102, FIG. 7. CDF plots with different line widths for downstream electron flow. EM tests were performed at T=300 C and j=1.0 MA/cm 2. C. Early failure behavior To investigate the SiON filling process effect on early failure, EM tests were performed using multilinked EM test structures for downstream electron flow. The CDF plots of the EM test results, together with Monte Carlo simulation, are shown in Fig. 8 for the 60 nm wide Cu interconnects with SiON filling. The CDF plots demonstrated approximately straight-line behavior for multilinked structures with N =1, 10, and 100, indicating a monomodal failure distribution. This result implies that no extrinsic factors such as processinduced defects affected this failure. This suggests that process-induced defects in the via bottom, which are a primary cause of early failures, are no longer a dominant factor affecting failure due to the distinct via/ M1 interface. The reason for the shortest lifetime for the N=100 samples is that with the increasing number of line segments, the probability of failure in any of those segments will increase. The experimental CDF plots did not correspond very well with the Monte Carlo simulation result, suggesting statistical variations in line dimension and geometry due to aggressive line scaling. D. Activation energy and current density exponent To measure the activation energy and current density exponent, EM tests were performed at various temperatures FIG. 9. Electromigration lifetimes as a function of test temperature for the Cu interconnects with different linewidths under the current density of 1.0 MA/cm 2. The activation energies were found to be 0.79 and 0.80 ev for the fine lines and standard lines, respectively. ranging from 210 to 290 C. Based on the EM lifetime data, the activation energies for Cu interconnects with 60 and 125 nm lines were determined and the results are shown in Fig. 9. The activation energies, Q, were obtained from fitting the experimental data to the Black s equation 8 t 50 = Aj n exp Q/kT, where A is a constant, j is the current density, n is the current density exponent, and Q is the activation energy for EM. The activation energies were found to be to the same, 0.80 ev, indicating a similar interfacial diffusion behavior in both test structures which was not affected by the SiON filling process. 9,10 Current density exponent n values, using Eq. 2, were obtained for the 60 and 125 nm lines at 240 C. The results are shown in Fig. 10. The current exponents were found to be 1.44 and 1.88 for 125 and 60 nm lines, respectively, and the difference is deemed insignificant. In the case of Al interconnects, it is generally known that EM failure controlled by void growth shows a current exponent of This implies that migration of void flux is directly proportional to the electron flux. In contrast, EM failure controlled by void nucleation yields a current exponent of 2. 12,13 Therefore, all 2 FIG. 8. CDF plots of multilinked EF structures with different number of line segments for down stream electron flow. EM tests were performed at T=240 C and j=1.0 MA/cm 2. FIG. 10. Electromigration lifetimes as a function of current density for the Cu interconnects with different linewidths at T=240 C. The current density exponent values n were found to be 1.88 and 1.44 for the fine lines and standard lines, respectively.

5 Pyun et al. J. Appl. Phys. 102, EM failures which have the current exponent value falling inbetween 1 and 2 are controlled by both nucleation and growth of voids. 14,15 The measured n values are in this general range. IV. CONCLUSIONS Ultrafine M1 Cu interconnects were fabricated by dualdamascene process using a trench-filling method with SiONfilling layer and their EM reliability was investigated. Samples with 60 nm wide lines showed longer lifetimes, which can be attributed to the distinct interface structure between the via and M1. For the SiON-filled samples, EM test results using multilinked structures were signified by the absence of extrinsic early failure. This result suggests that the processing defects, which are the most probable cause for early failures, were not a dominant factor due to the distinct via/ M1 interface structure. The activation energies were found to be around 0.80 ev for both fine lines and standard lines, indicating a similar interfacial diffusion behavior in both structures which is not affected by the SiON filling process. The n values for 60 and 125 nm lines were found to be similar. ACKNOWLEDGMENTS This work was supported by the Semiconductor Research Corporation and the SEMATECH Advanced Materials Research Center. 1 E. Liniger, L. Gignac, C.-K. Hu, and S. Kaldor, J. Appl. Phys. 92, R. Rosenberg, D. C. Edelstein, C.-K. Hu, and K. P. Rodbell, Annu. Rev. Mater. Sci. 30, K. N. Tu, J. Appl. Phys. 94, R. Havemann and J. Hutchby, Proc. IEEE 89, J. W. Pyun, X. Lu, S. Yoon, N. Henis, K. Neuman, K. Pfeifer, and P. S. Ho, Pproceedings of 2005 IEEE International Reliability Physics Symposium, 43th Annual, 2005, pp E. T. Ogawa, K.-D. Lee, V. A. Blaschke, and P. S. Ho, IEEE Trans. Reliab. 51, C.-K. Hu, D. Canaperi, S. T. Chen, L. M. Gignac, B. Herbst, S. Kaldor, M. Krishnan, E. Liniger, D. L. Rath, D. Restaino, J. Rubino, S.-C. Seo, A. Simon, S. Smith, and W.-T. Tseng, Proceedings of 2004 IEEE International Reliability Physics Symposium, 42nd Annual, 2004, pp J. R. Black, IEEE Trans. Electron Devices 16, J. R. Lloyd, J. Phys. D 32, R K.-D. Lee, E. T. Ogawa, S. Yoon, X. Lu, and P. S. Ho, Appl. Phys. Lett. 82, J. J. Clement and J. R. Lloyd, J. Appl. Phys. 71, M. Shatzkes and J. R. Lloyd, J. Appl. Phys. 59, J. R. Lloyd, J. Appl. Phys. 69, A. S. Oates, Appl. Phys. Lett. 66, D. Padhi and G. Dixit, J. Appl. Phys. 94,

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Jung Woo Pyun, a Won-Chong Baek, Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650,

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

via into the critical line above. A 20% resistance increase defines the failure of the test structure. Electromigration and Stressmigration Failure Mechanism Studies in Copper Interconnects Armin H. Fischer and Alexander von Glasow Reliability Methodology, Infineon Technologies AG, Munich Abstract Electromigration

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization

Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 Mean-time-to-failure study of flip chip solder joints on CuÕNi V ÕAl thin-film under-bump-metallization W. J. Choi, a) E. C. C. Yeh, b) and

More information

Effect of impurity on Cu electromigration

Effect of impurity on Cu electromigration Effect of impurity on Cu electromigration C.K. Hu, M. Angyal, B. Baker, G. Bonilla, C. Cabral, D. F. Canaperi, L. Clevenger, D. Edelstein, L. Gignac, E. Huang, J. Kelly, B. Y. Kim, V. Kyei- Fordjour, S.

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection

Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection G782 0013-4651/2006/153 8 /G782/5/$20.00 The Electrochemical Society Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection Y. L. Hsu, Y. K. Fang, z Y. T. Chiang,

More information

The dependence of W-plug via EM performance on via size

The dependence of W-plug via EM performance on via size Semicond. Sci. Technol. 11 (1996) 858 864. Printed in the UK The dependence of W-plug via EM performance on via size HALe, K Banerjee and J W McPherson Texas Instruments Incorporated, Productization Reliability

More information

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

Implications of Stress Migration and Voiding in Cu Damascene Interconnections Implications of Stress Migration and Voiding in Cu Damascene Interconnections E. T. Ogawa and J. W. McPherson Texas Instruments, Inc. Dallas, TX USA 22 Topical Research Conference (TRC) on Reliability,

More information

Improvement of Electromigration Lifetime of Submicrometer Dual-Damascene Cu Interconnects Through Surface Engineering

Improvement of Electromigration Lifetime of Submicrometer Dual-Damascene Cu Interconnects Through Surface Engineering G840 Journal of The Electrochemical Society, 153 9 G840-G845 2006 0013-4651/2006/153 9 /G840/6/$20.00 The Electrochemical Society Improvement of Electromigration Lifetime of Submicrometer Dual-Damascene

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Damage mechanics of electromigration in microelectronics copper interconnects. Cemal Basaran* and Minghui Lin

Damage mechanics of electromigration in microelectronics copper interconnects. Cemal Basaran* and Minghui Lin 16 Int. J. Materials and Structural Integrity, Vol. 1, Nos. 1/2/3, 2007 Damage mechanics of electromigration in microelectronics copper interconnects Cemal Basaran* and Minghui Lin Electronic Packaging

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

MICROSTRUCTURAL IMPACT ON ELECTROMIGRATION: A TCAD STUDY

MICROSTRUCTURAL IMPACT ON ELECTROMIGRATION: A TCAD STUDY FACTA UNIVERSITATIS Series:Electronics and Energetics Vol. 27, N o 1, March 2014, pp. 1-11 DOI: 10.2298/FUEE1401001C MICROSTRUCTURAL IMPACT ON ELECTROMIGRATION: A TCAD STUDY Hajdin Ceric 1,2, Roberto Lacerda

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598 Adhesion and Electromigration in Cu Interconnect Jim Lloyd, Michael Lane and Eric Liniger Yorktown Heights, NY 10598 Adhesion and Electromigration Cu and Al act very differently with respect to electromigration

More information

In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures

In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures Microelectronic Engineering 64 (2002) 375 382 www.elsevier.com/ locate/ mee In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures Abstract M.A. Meyer *,

More information

Electromigration Measurements in Thin-Film IPD and ewlb Interconnections

Electromigration Measurements in Thin-Film IPD and ewlb Interconnections Electromigration Measurements in Thin-Film IPD and ewlb Interconnections by Robert Frye, Kai Liu*, KyawOo Aung**, and M. Pandi Chelvam** RF Design Consulting, LLC 334 B Carlton Avenue Piscataway, NJ 0885

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Electromigration in Sn Pb solder strips as a function of alloy composition

Electromigration in Sn Pb solder strips as a function of alloy composition JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 10 15 NOVEMBER 2000 Electromigration in Sn Pb solder strips as a function of alloy composition C. Y. Liu, a) Chih Chen, b) and K. N. Tu c) Department of Materials

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

1.1 Research Background of Copper Metallization for GaAs-based

1.1 Research Background of Copper Metallization for GaAs-based Chapter 1 Introduction 1.1 Research Background of Copper Metallization for GaAs-based Devices In the past decades, GaAs ICs are generally used for military purposes, such as satellite, radar and etc. With

More information

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems 45nm Reliability Issues Glenn Alers Integration Group Novellus Systems 1 Integration Challenges for Interconnects Maintain low RC with reduced line widths No sacrifice in reliability Reduced Cu line width

More information

Electromigration Induced Voiding and Resistance Change in Three-Dimensional Copper Through Silicon Vias

Electromigration Induced Voiding and Resistance Change in Three-Dimensional Copper Through Silicon Vias 216 66th lectronic Components and Technology Conference lectromigration nduced Voiding and Resistance Change in Three-Dimensional Copper Through Silicon Vias Marco Rovitto, and Hajdin Ceric Christian Doppler

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

N-PERT BACK JUNCTION SOLAR CELLS: AN OPTION FOR THE NEXT INDUSTRIAL TECHNOLOGY GENERATION?

N-PERT BACK JUNCTION SOLAR CELLS: AN OPTION FOR THE NEXT INDUSTRIAL TECHNOLOGY GENERATION? N-PERT BACK JUNCTION SOLAR CELLS: AN OPTION FOR THE NEXT INDUSTRIAL TECHNOLOGY GENERATION? Bianca Lim *, Till Brendemühl, Miriam Berger, Anja Christ, Thorsten Dullweber Institute for Solar Energy Research

More information

Electromigration Improvement for Advanced Technology Nodes

Electromigration Improvement for Advanced Technology Nodes 269.1149/1.3096461 The Electrochemical Society Electromigration Improvement for Advanced Technology Nodes Hui-Jung Wu, Wen Wu, Roey Shaviv, Mandy Sriram, Anshu Pradhan, Kie Jin Park, Jennifer O Loughlin,

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads

Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 8 15 OCTOBER 2004 Electromigration failure mechanisms for SnAg3.5 solder bumps on Ti/Cr-Cu/Cu and Ni P /Au metallization pads T. L. Shao, Y. H. Chen, S. H.

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Reaction of Sn to Nanocrystalline Surface Layer of Cu by Near Surface Severe Plastic Deformation

Reaction of Sn to Nanocrystalline Surface Layer of Cu by Near Surface Severe Plastic Deformation Solid State Phenomena Vol. 127 (2007) pp 115-120 Online available since 2007/Sep/15 at www.scientific.net (2007) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/ssp.127.115 Reaction

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

Light Emission Analysis of Trench Gate Oxides of Power Devices

Light Emission Analysis of Trench Gate Oxides of Power Devices Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles 17 Research Report Light Emission Analysis of Trench Gate Oxides of Power Devices Masanori Usui, Takahide Sugiyama, Masayasu

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

Recent advances on electromigration in very-large-scale-integration of interconnects

Recent advances on electromigration in very-large-scale-integration of interconnects JOURNAL OF APPLIED PHYSICS VOLUME 94, NUMBER 9 1 NOVEMBER 2003 APPLIED PHYSICS REVIEWS FOCUSED REVIEW Recent advances on electromigration in very-large-scale-integration of interconnects K. N. Tu Department

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Inhibition of Electromigration in Eutectic SnBi Solder Interconnect by Plastic Prestraining

Inhibition of Electromigration in Eutectic SnBi Solder Interconnect by Plastic Prestraining J. Mater. Sci. Technol., 2011, 27(11), 1072-1076. Inhibition of Electromigration in Eutectic SnBi Solder Interconnect by Plastic Prestraining X.F. Zhang 1), H.Y. Liu 1), J.D. Guo 1) and J.K. Shang 1,2)

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS

MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS MATERIAL ISSUES AND IMPACT ON RELIABILITY OF Cu/LOW k INTERCONNECTS Paul S. Ho Microelectronics Research Center APS March Meeting 2003 Technology challenges for low k dielectrics Chemical bond and polarizability

More information

Characterization of Contact and Via Failure under Short Duration High Pulsed Current Stress

Characterization of Contact and Via Failure under Short Duration High Pulsed Current Stress Characterization of Contact and Via Failure under Short Duration High Pulsed Current Stress Kaustav Banerjee, Ajith Amerasekera*, Girish Dixit*, Nathan Cheung and Chenming Hu Department of Electrical Engineering

More information

Fabrication and Characterization of (111)-Oriented and Nanotwinned Cu by Dc Electrodeposition

Fabrication and Characterization of (111)-Oriented and Nanotwinned Cu by Dc Electrodeposition pubs.acs.org/crystal Fabrication and Characterization of (111)-Oriented and Nanotwinned Cu by Dc Electrodeposition Tao-Chi Liu, Chien-Min Liu, Hsiang-Yao Hsiao, Jia-Ling Lu, Yi-Sa Huang, and Chih Chen*

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY

REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY Meijun Lu 1,2, Ujjwal Das 1, Stuart Bowden 1, and Robert Birkmire 1,2 1 Institute of Energy

More information

Diffusivity variation in electromigration failure

Diffusivity variation in electromigration failure Loughborough University Institutional Repository Diffusivity variation in electromigration failure This item was submitted to Loughborough University's Institutional Repository by the/an author. Citation:

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating 0013-4651/2004/151 12 /C781/5/$7.00 The Electrochemical Society, Inc. Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating C781 Zenglin Wang, Osamu Yaegashi, Hiroyuki Sakaue,

More information

Calorimetric Study of the Energetics and Kinetics of Interdiffusion in Cu/Cu 6. Film Diffusion Couples. Department of Physics

Calorimetric Study of the Energetics and Kinetics of Interdiffusion in Cu/Cu 6. Film Diffusion Couples. Department of Physics Calorimetric Study of the Energetics and Kinetics of Interdiffusion in Cu/Cu 6 Thin Film Diffusion Couples K. F. Dreyer, W. K. Niels, R. R. Chromik, D. Grosman, and E. J. Cotts Department of Physics Binghamton

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Failure Modes of Flip Chip Solder Joints Under High Electric Current Density

Failure Modes of Flip Chip Solder Joints Under High Electric Current Density C. Basaran e-mail: cjb@buffalo.edu H. Ye D. C. Hopkins Electronic Packaging Laboratory, University at Buffalo, SUNY, Buffalo, New York D. Frear J. K. Lin Freescale semiconductor Inc., Tempe, Arizona Failure

More information

This paper is part of the following report: UNCLASSIFIED

This paper is part of the following report: UNCLASSIFIED UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012199 TITLE: Grain-Size-Dependent Thermal Transport Properties in Nanocrystalline Yttria-Stabilized Zirconia DISTRIBUTION:

More information

Improving mechanical and electrical properties of Cu/SAC305/Cu solder joints under electromigration by using Ni nanoparticles doped flux

Improving mechanical and electrical properties of Cu/SAC305/Cu solder joints under electromigration by using Ni nanoparticles doped flux https://doi.org/10.1007/s10854-017-8252-0 Improving mechanical and electrical properties of Cu/SAC305/Cu solder joints under electromigration by using Ni nanoparticles doped flux M. Nasir Bashir 1 A. S.

More information

Accumulation (%) Amount (%) Particle Size 0.1

Accumulation (%) Amount (%) Particle Size 0.1 100 10 Amount (%) 5 50 Accumulation (%) 0 0.1 1 Particle Size (µm) 10 0 Supplementary Figure 1. The particle size distribution of W-15 at% Cr after 20 hours milling. Supplementary Figure 2. a,b, X-ray

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

NEC 79VR5000 RISC Microprocessor

NEC 79VR5000 RISC Microprocessor Construction Analysis NEC 79VR5000 RISC Microprocessor Report Number: SCA 9711-567 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2

Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2 Materials Science and Engineering B56 (2000) 101 105 www.elsevier.com/locate/mseb Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2 Y.K. Lee

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Hirokazu Ezawa, Kazuhito Higuchi, Msaharu Seto, Takashi Togasaki, Sachiko Takeda* and Rei Kiumi* Toshiba Corporation Semiconductor Company Advanced

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Shear Strength in Solder Bump Joints for High Reliability Photodiode Packages

Shear Strength in Solder Bump Joints for High Reliability Photodiode Packages Materials Transactions, Vol. 44, No. 10 (2003) pp. 2163 to 2168 #2003 The Japan Institute of Metals Shear Strength in Solder Bump Joints for High Reliability Photodiode Packages Chong-Hee Yu 1, Kyung-Seob

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

A molecular dynamics study on melting point and specific heat of Ni 3 Al alloy

A molecular dynamics study on melting point and specific heat of Ni 3 Al alloy Science in China Series G: Physics, Mechanics & Astronomy 2007 SCIENCE IN CHINA PRESS Springer A molecular dynamics study on melting point and specific heat of Ni 3 Al alloy YANG Hong, LÜ YongJun, CHEN

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 2492 2496 Part, No. 5A, May 2000 c 2000 The Japan Society of Applied Physics Passivation of O 2 / Interfaces Using High-Pressure-H 2 O-Vapor Heating Keiji SAKAMOTO

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

C. G. Welles, A. Bivas, W. Lee Smith and Allan Rosencwaig

C. G. Welles, A. Bivas, W. Lee Smith and Allan Rosencwaig HGH-RESOLUTON THERMAL YAVE MAGNG OF SURFACE AND SUBSURFACE DEFECTS N C METAL LNES C. G. Welles A. Bivas W. Lee Smith and Allan Rosencwaig Therma-Yave nc. 47320 Mission Falls Ct. Fremont CA 94539 Using

More information

Electromigration Study for Multi-scale Power/Ground Vias in TSV-based 3D ICs

Electromigration Study for Multi-scale Power/Ground Vias in TSV-based 3D ICs Electromigration Study for Multi-scale Power/Ground Vias in TSV-based 3D ICs Jiwoo Pak The Univ. of Texas at Austin jiwoo@cerc.utexas.edu Sung Kyu Lim Georgia Inst. of Tech. limsk@ece.gatech.edu David

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Fabrication of Cu interconnects of 50 nm linewidth by electron-beam lithography and high-density plasma etching

Fabrication of Cu interconnects of 50 nm linewidth by electron-beam lithography and high-density plasma etching Fabrication of Cu interconnects of 50 nm linewidth by electron-beam lithography and high-density plasma etching Y. Hsu, T. E. F. M. Standaert, G. S. Oehrlein, and T. S. Kuan a) Department of Physics, University

More information

n region. But, it is a bit difficult

n region. But, it is a bit difficult VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 31 Problems in Aluminium Metal Contacts So, we have been discussing about the

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs

Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 5, MAY 2001 835 Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs Theodore Chung, Seth R. Bank, John Epple, and Kuang-Chien

More information

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong*

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Crystallization of Amorphous Silicon Thin Film by Using a Thermal Plasma Jet Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Department of Nuclear Engineering, Seoul National University Seoul

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

ET3034TUx High efficiency concepts of c- Si wafer based solar cells

ET3034TUx High efficiency concepts of c- Si wafer based solar cells ET3034TUx - 4.4 - High efficiency concepts of c- Si wafer based solar cells In the previous block we have discussed various technological aspects on crystalline silicon wafer based PV technology. In this

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Motorola MC68360EM25VC Communication Controller

Motorola MC68360EM25VC Communication Controller Construction Analysis EM25VC Communication Controller Report Number: SCA 9711-562 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Chapter 18: Electrical Properties

Chapter 18: Electrical Properties Chapter 18: Electrical Properties ISSUES TO ADDRESS... How are electrical conductance and resistance characterized? What are the physical phenomena that distinguish conductors, semiconductors, and insulators?

More information