Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection

Size: px
Start display at page:

Download "Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection"

Transcription

1 G /2006/153 8 /G782/5/$20.00 The Electrochemical Society Failure Mechanism of Electromigration in Via Sidewall for Copper Dual Damascene Interconnection Y. L. Hsu, Y. K. Fang, z Y. T. Chiang, S. F. Chen, C. Y. Lin, T. H. Chou, and S. H. Chang VLSI Technology Laboratory, Institute of Microelectronics, Department of Electrical Engineering, National Cheng Kung University, Tainan, Taiwan We have studied the effects of step coverage of TaN diffusion barrier layer on Cu electromigration EM in detail and found that the EM lifetime strongly depends on the step coverage of via sidewall thickness. We conclude that the EM failures are caused by mechanisms such as unbalanced thermal stress, thermal expansion between Cu and TaN, and surface morphology of TaN including surface roughness and grain sizes. These factors were investigated and evaluated systematically through transmission electron microscopy, field emission scanning electron microscopy, and atomic force microscopy. Furthermore, we also address the enhancement of step coverage to examine Cu EM performance by performing a lifetime experiment, and suggest that the step coverage of TaN barrier becomes an important process integration subject for sub-0.13 m and beyond technologies The Electrochemical Society. DOI: / All rights reserved. Manuscript submitted February 24, 2006; revised manuscript received April 17, Available electronically June 16, As device feature size shrinks to the deep sub-0.13 m and beyond nodes, Cu is widely used as the interconnect metal with dual damascene process for its better electrical conductivity. In practice, TaN has been employed as an effective barrier layer between the upper Cu layers and the lower local interconnect layers because of its good adhesion to the SiO 2, 1 and is usually deposited by sputtering physical vapor deposition PVD. However, with deep submicrometer complementary metaloxide semiconductor CMOS technology, the aspect ratio AR of via holes in dual damascene becomes very high and causes the sputtered TaN to form poor step coverage. Then, the poor step coverage causes high electrical resistance in metal lines and a greater chance of mechanical cracking. In addition, it enhances electromigration EM failure significantly. Hence EM testing associated with multiple metals and via hole levels has been used to qualify its performance of metal interconnection reliability. 2,3 In the past, EM reliability issues of Cu interconnects with signal damascene have been investigated extensively and attributed to various factors, such as local defects from processes, line width and via diameter, adhesion strength of barrier metal/cu interface, and contaminations from via formation process. 4-9 But very few studies of EM failure on poor step coverage of barrier layer for Cu dual damascene process have been reported. In this work, we systematically studied the EM failure of Cu interconnect processes affected by the step coverage of TaN barrier layer in via holes and contributed to the four different mechanisms, i.e., unbalanced thermal stress; thermal expansion between Cu, TaN and FSG; TaN surface morphology; and TaN grain sizes and grain boundaries. Experimental In this study, samples of EM test structures were prepared on 200 mm 100 p-type cm silicon substrates with a sub m CMOS technology. The test structures inset of Fig. 1 consisted of metal-1 and metal-2 chains with 0.22 m width, 100 m length, and 0.21 m diam via holes. We used a single damascene process for metal-1 Cu layer and dual damascene process for metal-2 Cu layer. To form the metal-1, the intermetal dielectric IMD consisted of a 250 nm thick fluorine silicon glass FSG and a 30 nm thick Si 3 N 4 as trench-1 etch stop layer were deposited by plasma-enhanced chemical vapor deposition PECVD and patterned trenches by conventional lithography. We then formed trenches by sputtering a 25 nm thick TaN barrier layer, a 150 nm Cu seed layer, electroplating Cu, and removing redundant Cu by chemical mechanical planarization CMP sequentially. Next, to form metal-2, a 50 nm thick SiN layer was deposited just after the CMP z ykfang@eembox.ee.ncku.edu.tw process to serve as Cu cap and via etch stop layer. After that, layers of 40 nm FSG, 30 nm SiN, 480 nm FSG, and 50 nm SiON were deposited as the IMD-2 in which 30 nm SiN serve as trench-2 stop layer. Then, we patterned via and metal-2 trench and formed them through the processes use for metal-1. In EM test, the samples resistances were examined after a constant current of 2 ma/cm 2 at 350 C stress for fixed periods. The failure criterion is defined as 10% increased resistances of the samples after stress. The total stress time to fail is called the time-to-failure TTF for each sample. Based on the TTF, we plot the cumulative failure distribution. Furthermore, atomic force microscopy AFM, transmission electron microscopy TEM, and field emission scanning electron microscopy FESEM were used to measure barrier layer surface roughness, step coverage of TaN in via holes, and the grain sizes of TaN barrier layers, respectively. Results and Discussions Figure 1 gives the cumulative failure distribution of EM lifetime for typical Cu interconnection lines failed after 19 to 50 h stress for EM test. The interconnect schemes of top view and side view are presented in the inset. The cumulative failure distribution is plotted by measurement of each sample s TTF and then calculates the TTF distribution percentage for all samples. With the distribution, we can obtain the values of t 0.1 time for 0.1% of lines to fail and t 50 time for 50% of lines to fail and maximum current density J max with Black s law. 10 These data are commonly used for Cu interconnects Figure 1. Cumulative distributions of Cu dual damascene interconnect passed square and failed circle after h EM stress. Inset: top and side view of the test structure schemes.

2 G783 Table I. Time to failure (TTF) for various step coverage percentages. Sigma, t 50,t 0.1,andJ max are standard deviation, time for 50% and 0.1% of the line to failure and maximum current density, respectively. Step Coverage Results 22.6% 23.7% 31.3% 34.9% Sigma t 50 hours t 0.1 hours J max A/cm E E E E + 06 reliability evaluation. 10,11 As seen, the t 0.1 and t 50 of lines are far less than that of passed samples see Table I for details. TEM failure analysis for the opened Cu interconnects in EM test is presented in Fig. 2a. Clearly, Cu voids were found in the corner and via sidewall approaching the bottom, which possess the feature of thinner TaN barrier layer caused by poor step coverage. However, the corner also has issues of difficult deposition and high stress thus enhancing formation of large void. Additionally, from other structures we can find the similar results Fig. 2b-d. We have attributed four failure mechanisms with schematic diagrams to illustrate the EM failure as follows. First, during the EM testing, current crowding effect and current flow induced heating on via hole generate thermal stresses its directions and magnitudes for various layers are indicated in Fig. 3 with arrows. Under a constant testing temperature, the thermal stress is larger than the intrinsic stress and becomes dominant. Its magnitude can be calculated with 12 T = T 0 T * * E 1 where T,T 0,T,, and E are thermal stress, stress free temperature, EM testing temperature, the difference of thermal expansion coefficient, and the Young s modulus, respectively. The thermal expansion coefficient CTE /the young s modulus of Cu, TaN, and FSG are C 1 /125, C 1 /457, and C 1 /80, respectively. 13 Transferring these data into Eq. 1, one can find that Cu possesses the largest thermal stress and the smallest for FSG, thus generating an unbalanced stress upon the TaN Figure 3. Schematic models illustrating unbalanced thermal stress induced EM failure in the sidewall of copper via for a thin and b thick TaN barrier. Figure 2. TEM cross-sectional images of four failed samples after h EM testing. Void always found in via sidewall and bottom corner. Figure 4. Vacancies formation due to tensile stress caused by unbalanced thermal expansion coefficient induced EM failure in the sidewall of copper via for a thin and b thick TaN barrier.

3 G784 Figure 5. AFMs, the measured surface roughness R surface of TaN barrier, and model illustrating heat dissipation flow path for a thin and b thick TaN barrier, respectively. barrier layer to be broken at the weakest point. Simultaneously, the Cu atoms energized by heat diffuse into FSG through the broken barrier and create a void in via hole as shown in Fig. 3a. However, the same phenomenon was not found for a thick and conformal TaN barrier layer Fig. 3b because it is strong enough to resist the cracking. Second, as shown in Fig. 4, the difference of thermal expansion coefficient between TaN layer and Cu layer also causes a tensile stress to the Cu layer and bends the layers outbound directions and toward ends under the high-temperature EM testing, especially for thinner TaN barrier layer. The heat-enhanced tensile stress then assists the diffusion of Cu atoms, thus creating small vacancies in the Cu/TaN interface during EM testing. At the same time, these vacancies transport along with the interface and coalesce into voids in via hole as reported previously. 14 Third, because via is formed by reactive ion etch RIE which always causes a very rough surface after etching, 15,16 the thin TaN film cannot cover the rough surface of via and confirmed by AFM analysis. Figure 5 gives the AFM micrographs and the measured surface roughness R surface for a thin and b thick TaN barrier layers, respectively. The thin TaN barrier layer with R surface = nm is 137% rougher over the thick one with R surface = nm. The rough TaN surface touches the Cu layer with less area, thus retarding the heat dissipation 17 of the Cu through TaN barrier layer, then the accumulated heat aggravates the EM failure as illustrated in the insets of Fig. 5. Finally, as reported previously, 18 the grain size of the deposited TaN film is strongly affected by strain stress. On the other hand, AFM Fig. 5 shows that the thicker the film is, the smoother is the Figure 6. FESEM top views of TaN barrier layer for a thin and b thick TaN barrier, respectively. Inset: Models illustrating Cu atom diffusion through grain boundary.

4 G785 Figure 7. TEM cross-sectional micrographs of TaN diffusion barrier layers in Cu dual damascene interconnect for step coverage a 23.7% and b 34.9%. film morphology. We also found that the smoother film morphology offers little stress to degrade the growing grain. 19 Therefore, the thick and conformal TaN posses larger grains and can be certified by the FESEM micrographs for thin and thick TaN barrier layers presented in Fig. 6a and b, respectively. It has been reported that EM flux through the Cu-barrier-dielectric interface dominates all possible EM flux diffusion paths, including grain boundaries, metalbarrier interfaces, and dielectric-barrier interfaces in Cu dual damascene, which strongly depends on the grain size of barrier layer. 20,21 Hence, the Cu atoms diffuse through fewer grain boundaries for a thick TaN barrier layer and attain higher EM performance. Furthermore, we found that the major factor to affect thickness of the TaN barrier layers in via the sidewall is the TaN sputtering process with various step coverage percentages. Figure 7 gives the TEM cross-section micrographs of a poor step coverage 23.7% and b conformal step coverage 34.9% of TaN barrier layer in via hole, respectively. The corresponding TTF of EM testing for various percentages are shown in Fig. 8 and the results are summarized in Table I. The TTF t 0.1 and t 50 of Cu interconnects in the EM test is proportional to the step coverage percentages of TaN barrier layer and increases rapidly from step coverage 22.6% to 34.9%. On the other hand, 10% increasing in step coverage results in more than Figure 8. EM lifetime distributions of Cu dual damascene interconnect including via with various TaN barrier sidewall step coverage. 100% improvement for maximum current density J max. This implies that high step coverage of TaN barrier layer would be the most important factor for dual damascene Cu interconnects reliability performance. Conclusion We have studied the EM lifetime of Cu interconnects in detail by AFM, SEM, and TEM analysis and found that it strongly depends on the step coverage of TaN barrier layer in via holes. Additionally, we confirm that the EM failure mechanisms are attributed to the unbalanced thermal stress, thermal expansion between copper and TaN, and TaN surface morphology, including surface roughness and grain size effects. Currently, enhancing the TaN thickness is believed to help the EM performance of Cu dual damascene interconnection but it also increases the resistance of via holes. However, our findings showed that enhancing the step coverage by maintaining via sidewall thickness uniformity should become an important process integration subject for sub-0.13 m and beyond technologies. Acknowledgments The authors thank the members of Taiwan Semiconductor Manufacturing Company, Limited, for technical support and valuable discussions. The National Science Council supported this work under contract no. NSC E National Cheng Kung University assisted in meeting the publication costs of this article. References 1. C. Bruynseraede, Zs. Tokei, F. Iacopi, G. P. Beyer, J. Michelon, and K. Maex, in IEEE 43rd Annual Int. Reliability Physics Symposium, IEEE, p C. M. Tan, A. Roy, A. V. Vairagar, and S. G. Mhaisalkar, IEEE Trans. Device Mater. Reliab., 5, J. B. Lai, J. L. Yang, Y. P. Wang, S. H. Chang, R. L. Hwang, and C. S. Hou, in Symposium on VLSI Technology System Applications, IEEE, p A. H. Fischer, A. V. Glasow, S. Penga, and F. Ungar, in Proceedings of the IEEE 2002 International Inteerconnect Technology Conference, IEEE, p T. Suzuki, S. Ohtsuka, A. Yamanouse, T. Hosoda, Y. Matsuoka, K. Yanai, H. Matsuyama, H. Mori, N. Shimizu, T. Nakamura, S. Suatani, K. Shono, and H. Yagi, in Proceedings of the IEEE 2002 International Interconnect Technology Conference, IEEE, p K. Ishikawa, T. Iwasaki, T. Fujii, N. Nakajima, M. Miyauchi, T. Ohshima, J. Noguchi, H. Aoki, and T. Saito, in Proceedings of the IEEE 2003 International Interconnect Technology Conference, IEEE, p T. C. Wang, T. E. Hsieh, M. T. Wang, D. S. Su, C. H. Chang, Y. L. Wang, and J. Y. Lee, J. Electrochem. Soc., 152, K. Yoshida, T. Fujimaki, K. Miyamoto, T. Honma, H. Kaneko, H. Nakazawa, and

5 G786 M. Morita, Tech. Dig. - Int. Electron Devices Meet., 2002, M. Traving, G. Schindler, G. Steinlesberger, W. Steinhogl, and M. Engelhardt, in Advanced Metallization Conference 2004, IEEE, p K. Banerjee, A. Mehrotra, W. Hunter, K. C. Saraswat, K. E. Goodson, and S. S. Wong, in IEEE 38th Annual Int. Reliability Physics Symposium, IEEE, p C. Y. Chang and S. M. Sze, ULSI Technology, McGrawn-Hill, New York Y. J. Park, K. D. Lee, and W. R. Hunter, in IEEE 43rd Annual Int. Reliability Physics Symposium, IEEE, p S. Orain, J-C. Barbe, X. Fedrspiel, P. Legallo, and H. Jaoduen, in IEEE 5th International Conference on Thermal and Mechanical Simulation and Experiments in Micro-Systems, IEEE, p E. T. Ogawa, J. W. McPherson, J. A. Rosai, K. J. Dicherson, T. C. Chiu, L. Y. Tsung, M. K. Jain, T. D. Bonified, and J. C. Ondrusek, in IEEE 40th Annual Int. Reliability Physics Symposium, IEEE, p S. B. Kim, H. Seo, Y. Kim, and H. Jeon, J. Korean Phys. Soc., 41, K. S. Chen, A. A. Ayón, X. Zhang, and S. M. Spearing, J. Microelectromech. Syst., 11, T. Y. Chiang, K. Banerjee, and K. C. Saraswat, IEEE Electron Device Lett., 23, D. P. Field, J. M. Yanke, E. V. Mcgowan, and C. A. Michaluk, J. Electron. Mater., 34, C. Y. Lin, Y. K. Fang, S. F. Chen, C. S. Lin, T. H. Chou, S. B. Hwang, J. S. Hwang, and K. I. Lin, J. Non-Cryst. Solids, 352, C. D. Hartfield, E. T. Ogawa, Y. J. Park, and T. C. Chiu, IEEE Trans. Device Mater. Reliab., 4, E. T. Ogawa, K. D. Lee, V. A. Blaschke, and P. S. Ho, IEEE Trans. Reliab., 51,

Electromigration behavior of 60 nm dual damascene Cu interconnects

Electromigration behavior of 60 nm dual damascene Cu interconnects JOURNAL OF APPLIED PHYSICS 102, 093516 2007 Electromigration behavior of 60 nm dual damascene Cu interconnects Jung Woo Pyun, a Won-Chong Baek, Lijuan Zhang, b Jay Im, and Paul S. Ho Microelectronics Research

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects Jung Woo Pyun, a Won-Chong Baek, Jay Im, and Paul S. Ho Microelectronics Research Center, PRC/MER Mail Code R8650,

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Outline Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology Wire Half Pitch vs Technology Node ITRS 2002 Narrow line effects Ref: J. Gambino, IEDM, 2003

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating 0013-4651/2004/151 12 /C781/5/$7.00 The Electrochemical Society, Inc. Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating C781 Zenglin Wang, Osamu Yaegashi, Hiroyuki Sakaue,

More information

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES C. L. Gan 1,, C. V. Thompson 1,2, K. L. Pey 1,3, and W. K. Choi 1,4 1 Advanced Materials for Micro- and Nano- Systems Programme, Singapore-MIT

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

Electromigration Improvement for Advanced Technology Nodes

Electromigration Improvement for Advanced Technology Nodes 269.1149/1.3096461 The Electrochemical Society Electromigration Improvement for Advanced Technology Nodes Hui-Jung Wu, Wen Wu, Roey Shaviv, Mandy Sriram, Anshu Pradhan, Kie Jin Park, Jennifer O Loughlin,

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems 45nm Reliability Issues Glenn Alers Integration Group Novellus Systems 1 Integration Challenges for Interconnects Maintain low RC with reduced line widths No sacrifice in reliability Reduced Cu line width

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 7: BASICS OF THIN FILMS 2004 by LATTICE PRESS Chapter 7: Basics of Thin Films CHAPTER CONTENTS Terminology of Thin Films Methods of Thin-Film Formation Stages

More information

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

via into the critical line above. A 20% resistance increase defines the failure of the test structure. Electromigration and Stressmigration Failure Mechanism Studies in Copper Interconnects Armin H. Fischer and Alexander von Glasow Reliability Methodology, Infineon Technologies AG, Munich Abstract Electromigration

More information

Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2

Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2 Materials Science and Engineering B56 (2000) 101 105 www.elsevier.com/locate/mseb Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2 Y.K. Lee

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization So-Ra Park 1,2, Jae-Sang Ro 1 1 Department of Materials Science and Engineering, Hongik University, Seoul, 121-791, Korea 2 EnSilTech

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E10.3.1 Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

The dependence of W-plug via EM performance on via size

The dependence of W-plug via EM performance on via size Semicond. Sci. Technol. 11 (1996) 858 864. Printed in the UK The dependence of W-plug via EM performance on via size HALe, K Banerjee and J W McPherson Texas Instruments Incorporated, Productization Reliability

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax:

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax: 10.1149/1.3700903 The Electrochemical Society Impact of High-κ TaO x Thickness on the Switching Mechanism of Resistive Memory Device Using IrO x /TaO x /WO x /W Structure A. Prakash a, S. Maikap a,*, W.

More information

Surface Pretreatments for Remove of Native Cu Oxide Layer

Surface Pretreatments for Remove of Native Cu Oxide Layer Paper Surface Pretreatments for Remove of Native Cu Oxide Layer Hyunjin Ju 1, Yong-Hyuk Lee 2, Youn-Seoung Lee 2, and Sa-Kyun Rha 1 * 1 Department of Materials Engineering, Hanbat National University,

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

FESEM Analysis of BPSG Films After Reflow

FESEM Analysis of BPSG Films After Reflow Chiang Mai J. Sci. 2007; 34(1) 35 Chiang Mai J. Sci. 2007; 34(1) : 35-46 www.science.cmu.ac.th/journal-science/josci.html Contributed Paper FESEM Analysis of BPSG Films After Reflow Uda Hashim*, Nik H.N.

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Investigation of Copper Agglomeration at Elevated Temperatures

Investigation of Copper Agglomeration at Elevated Temperatures G826 0013-4651/2003/150 12 /G826/5/$7.00 The Electrochemical Society, Inc. Investigation of Copper Agglomeration at Elevated Temperatures Ching-Yu Yang and J. S. Chen*,z Department of Materials Science

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

Implications of Stress Migration and Voiding in Cu Damascene Interconnections Implications of Stress Migration and Voiding in Cu Damascene Interconnections E. T. Ogawa and J. W. McPherson Texas Instruments, Inc. Dallas, TX USA 22 Topical Research Conference (TRC) on Reliability,

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Metallorganic Chemical Vapor Deposition of Ru Films Using Cyclopentadienyl-Propylcyclopentadienylruthenium II and Oxygen

Metallorganic Chemical Vapor Deposition of Ru Films Using Cyclopentadienyl-Propylcyclopentadienylruthenium II and Oxygen 0013-4651/2002/149 6 /C317/7/$7.00 The Electrochemical Society, Inc. Metallorganic Chemical Vapor Deposition of Ru Films Using Cyclopentadienyl-Propylcyclopentadienylruthenium II and Oxygen Sang Yeol Kang,

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

Lecture 10. Metallization / Back-end technology (BEOL)

Lecture 10. Metallization / Back-end technology (BEOL) Lecture 10 Metallization / Back-end technology (BEOL) Lecture 9: Metallization and BEOL Metallization Technology Evaporation Sputtering Back End Of the Line (BEOL) ITRS Requirements Evolution of Metallization

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Improvement of Electromigration Lifetime of Submicrometer Dual-Damascene Cu Interconnects Through Surface Engineering

Improvement of Electromigration Lifetime of Submicrometer Dual-Damascene Cu Interconnects Through Surface Engineering G840 Journal of The Electrochemical Society, 153 9 G840-G845 2006 0013-4651/2006/153 9 /G840/6/$20.00 The Electrochemical Society Improvement of Electromigration Lifetime of Submicrometer Dual-Damascene

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable

More information

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte Electronic Supplementary Material (ESI) for Journal of Materials Chemistry A. This journal is The Royal Society of Chemistry 2017 SUPPLEMENTARY INFORMATION A Functional Micro-Solid Oxide Fuel Cell with

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L.

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L. USOO6297162B1 (12) United States Patent (10) Patent No.: US 6,297,162 B1 Jang et al. (45) Date of Patent: Oct. 2, 2001 (54) METHOD TO REDUCE SILICON 5,930,627 7/1999 Zhou et al.... 438/257 OXYNTRIDE ETCH

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

ENCAPSULATION OF ORGANIC LIGHT EMITTING DIODES

ENCAPSULATION OF ORGANIC LIGHT EMITTING DIODES ENCAPSULATION OF ORGANIC LIGHT EMITTING DIODES Bhadri Visweswaran Sigurd Wagner, James Sturm, Electrical Engineering, Princeton University, NJ Siddharth Harikrishna Mohan, Prashant Mandlik, Jeff Silvernail,

More information

Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated

Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated Copper Films Yasmin Abdul Wahab 1, Anuar Fadzil Ahmad 2 and Zaiki Awang 1 1 Microwave Technology Centre, Faculty

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING International Journal of Nanoscience Vol. 10, Nos. 1 & 2 (2011) 13 17 #.c World Scienti c Publishing Company DOI: 10.1142/S0219581X11007594 EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Mechanical properties of SiOx coated PET films characterized by AFM equipped with micro tensile stage

Mechanical properties of SiOx coated PET films characterized by AFM equipped with micro tensile stage Mechanical properties of SiOx coated PET films characterized by AFM equipped with micro tensile stage Gil Rochat (a), Amandine David (b), Françoise Sommer (b), Pierre Fayet (a) (a) Nano Coating Technology,

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO) 2 Precursor

Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO) 2 Precursor Journal of the Korean Physical Society, Vol. 50, No. 4, April 2007, pp. 1141 1146 Characteristics of Cobalt Films Deposited by Using a Remote Plasma ALD Method with a CpCo(CO) 2 Precursor Keunwoo Lee,

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Effect of processing parameters on electroless Cu seed layer properties Author(s) Citation Ee, Elden

More information

Mechanical Properties of Copper Micrometer Pillars Fabricated by Intermittent MAGE Process

Mechanical Properties of Copper Micrometer Pillars Fabricated by Intermittent MAGE Process Int. J. Electrochem. Sci., 6 (2011) 3536-3549 International Journal of ELECTROCHEMICAL SCIENCE www.electrochemsci.org Mechanical Properties of Copper Micrometer Pillars Fabricated by Intermittent MAGE

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information