Improvement of silicon waveguide transmission by advanced e-beam lithography data fracturing strategies

Size: px
Start display at page:

Download "Improvement of silicon waveguide transmission by advanced e-beam lithography data fracturing strategies"

Transcription

1 Improvement of silicon waveguide transmission by advanced e-beam lithography data fracturing strategies Running title: Improve silicon waveguide transmission by fracturing strategies Running Authors: N. S. Patrick, R. J. Bojko, S. J. H. Stammberger, E. Luan, L. Chrostowski N. Shane Patrick a) University of Washington, Washington Nanofabrication Facility, Electrical Engineering, Box , Seattle, Washington GenISys Inc., San Francisco, CA GenISys GmbH, Munich, Germany Richard J. Bojko Stefan J.H. Stammberger Enxiao Luan, Lukas Chrostowski University of British Columbia, Vancouver, Canada a) Electronic mail: In the maturing field of silicon photonics, advances continue in both design and process improvements. Waveguide propagation loss is strongly affected by sidewall roughness, so for fabrication using e-beam lithography, loss is influenced by e-beam writing parameters. Here, we look specifically at fracturing strategies in data preparation for e-beam lithography, and find significant reduction in waveguide loss by utilizing advanced fracturing options. 1

2 For our evaluation, we fabricate optical waveguides using a well-characterized, highlystable baseline fabrication process with HSQ resist exposed by a 100 kv electron beam, a high-contrast TMAH develop, and a Cl2 ICP etch. Using surface grating couplers for input and output, automated optical measurements are made by scanning input light in the region of the design wavelength of 1550 nm and measuring optical output power. We use a design cell containing grating couplers and both straight and curved waveguides with a range of lengths. We find significant reduction in grating coupler insertion loss and waveguide loss along with increased uniformity by leveraging a new fracturing strategy implemented in the BEAMER pattern data processing software from GenISys, GmbH. Single Line Edge Smoothing (SLS) is an exposure strategy in which all feature edges are traced using a single-line shape (sometimes referred to as a single-pass line) while the bulk of the shape is then exposed with trapezoidal beam filling. The insertion loss for grating couplers written using Single Line Edge Smoothing shows a significant loss reduction of 1.2 db as well as greatly improved uniformity. Both straight and curved waveguide losses were also reduced by use of Single Line Edge Smoothing, by 0.7 and 1.1 db/cm respectively. Here, we will discuss the likely mechanisms of this improvement as well as present additional device data using these new fracturing methods which represent a significant, incremental improvement in performance of optical waveguides written by e-beam lithography. 2

3 I. INTRODUCTION Silicon photonics is a category of devices in which light traveling through silicon is utilized to convey and process data. It can be thought of as the direct analogue for working with light as standard semiconductor circuits are for working with electrons. Circuit traces are replaced by waveguides, wire pads are replaced by couplers, and analogues even exist for creating basic logic devices. As silicon is widely established in the industry, using it for research into new methodologies often allows the use of existing technology and infrastructure, thus reducing costs and making this an attractive area for faster computing research. Working with light, as compared to electrons, has a unique set of challenges even at the most basic of levels. Relevant to this work, electron confinement and transmission across metal traces, and even arcing in various materials, is well-characterized, but light travelling as a wave is more inclined to travel through material boundaries rather than going along its intended path within a material. Insertion and propagation loss of light is described as the relative amplitude of the light at the point of interest versus the amplitude at the starting point and is often described in decibels (db) or decibels per centimeter (db/cm). These numbers provide a quantitative description of how well the light is confined to the silicon structures. Any light that is not confined diffuses into the material surrounding the silicon features of interest. Thus, all structures are designed so that when light interacts at a material boundary it is reflected appropriately to achieve total internal reflection without scattering. As with any interaction between light and a mirror, the smoother the surface the better the reflection. 3

4 Silicon propagation loss, then, is strongly affected by sidewall roughness of all involved silicon fabricated features. In fabrication using e-beam lithography, wherein an electron beam is swept across the material to draw the desired structures directly, loss can be reduced by optimizing writing parameters, including fracturing strategy. E-beam lithography using a vector-scan, Gaussian-beam system requires the designed patterns to be fractured into fundamental shapes, typically rectangles and trapezoids. As e-beam writing in such a system is done on a grid, both straight and curved structures can suffer from this fracturing necessity resulting in feature edges with non-ideal shot placement. Here, we investigate a new fracturing method that allows tracing these features with an additional shape to allow more precise edge placement of shots. Applying this new strategy available in the BEAMER pattern data processing software to the established WNF lithography and etching processes for silicon photonics, 1 we can achieve the expected process fidelity along with significant reduction in grating coupler insertion loss and waveguide loss along with improved uniformity. II. EXPERIMENTAL SETUP AND METHODOLOGY A. Fabrication Parameters Waveguides were fabricated using the baseline WNF process. 1 The substrate was silicon-on-insulator (SOI) with an active silicon (Si) layer thickness of 220 nm and a bottom oxide (BOx) thickness of 3000 nm, diced into 25 mm squares. Patterning was performed using hydrogen silsesquioxane (HSQ) resist exposed in a JEOL JBX-6300FS electron beam lithography system using 100kV beam energy, 8 na beam current, base dose of 1800 μc/cm 2, dose-modulation proximity effect correction, and a field-shift of 2, 4

5 in which the pattern is written twice with a shift of one-half of the field size of 500 μm between the two written passes. The fundamental placement grid was 1 nm; this is the minimum increment to which a shape can be positioned. However, to achieve reasonable throughput for large patterns, a pixel spacing of 6 nm was used; this is also known as the shot-pitch, or beam step size, and is the spacing between pixels filling each shape. Resist development was in 25% tetramethyl-ammonium hydroxide (TMAH) for 4 minutes, followed by rinsing first in deionized (DI) water, then isopropyl alcohol (IPA), and finally drying with nitrogen (N2). The pattern was then transferred into the silicon device layer using a chlorine (Cl2) Inductively-Coupled Plasma (ICP) etch. The remaining resist was then stripped in buffered hydrofluoric acid (HF), and a passivation layer of 2000 nm of SiO2 was deposited using PECVD. B. Test Patterns 1. Grating Couplers To measure grating coupler insertion loss, two subwavelength surface grating couplers 2 (Fig. 1) are connected via the shortest length of waveguide possible for the testing setup (Fig. 2). The transmission between the two is measured and the propagation loss in the short segment of wavelength is considered negligible. This coupler is designed to operate at 1550 nm, TE00 mode, with a 25 degree entrance angle. The physical design of the subwavelength grating coupler used consists of a primary grating of 556 nm period and 260 nm width, with subwavelength grating features of 80 nm. Complete design details, modeling, design sensitivity results, and performance results are in the reference provided 2. 5

6 FIG. 1. Subwavelength surface grating coupler as designed in CAD software. FIG. 2. CAD layout for grating coupler insertion loss testing featuring 2 subwavelength grating couplers spaced a fiber-width apart to accommodate testing setup connected by the shortest possible length of waveguide. Curvature is designed to not exceed critical angle above which light is more likely to scatter into the surrounding material. 2. Waveguides To measure waveguide propagation loss, straight waveguides in 5 lengths from 1882 μm to μm, and curved waveguides in 5 lengths ranging from 2381 μm to 8505 μm were fabricated. (Fig. 3) All waveguides are nominally 500 nm wide by 220 nm high, and have a slightly trapezoidal cross-section due to an 82 degree sidewall slope from the ICP etching process previously described 1. A minimum bend radius of 5 μm is 6

7 used throughout the design to ensure no excess bend losses occur. Light is coupled into and out of these structures through the subwavelength grating couplers detailed above. FIG. 3. CAD layout for waveguides showing curved and straight designs of varied lengths. Curved segments at ends of straight guides are for design compaction only and are assumed to have a negligible impact. 3. Ring Resonators As an additional characterization of propagation loss, ring resonators (Fig. 4) were fabricated for examination and comparison of their quality (Q) factors. Light is inserted into a waveguide segment that runs in close proximity to the ring structure. As the light travels through the waveguide, a portion of it couples through the gap into the resonant structure. This light travels around the ring, coupling into a waveguide on the opposite side of the structure and continuing around to couple back into itself to create constructive and destructive interference at particular frequencies depending on design parameters. In this case, the interference pattern is of less concern than how long the resonance continues without input, which we measure by extrapolating the Q-factor. For a resonant system, a higher Q-factor indicates that the oscillations persist longer which 7

8 means the intrinsic loss of energy is lower. Since these rings are essentially curved waveguides, this allows another avenue to confirm smoothness improvements. FIG. 4. CAD layout for ring resonators showing the insertion couplers (connected) and the resonance measurement coupler (single coupler only). C. Data Preparation All data preparation was performed using BEAMER, a software suite created and maintained by GenISys GmbH. The same structures were fabricated twice, side-by-side once using the baseline WNF process and once using the new advanced fracturing strategies (SLS) as a modification to the baseline WNF process. 1. Baseline WNF Method There are many pieces to building a successful pattern process flow, however only the fracturing and tool-specific conversion is being investigated here. For more information on the overall process, see the referenced publication. 1 The standard fracturing method uses a user-specified beam placement (shot) spacing referred to as the beam step size or shot pitch. The choice of this spacing is dependent on numerous factors including beam current, feature size, tool clock speed, and other often tool-specific considerations. For this work, a beam step size of 6nm was used for higher throughput, per our established standard process, but the fundamental shape placement is at the 1 nm machine grid. The conventional shape fracturing method was employed wherein the software attempts to break the shape into as few rectangles 8

9 and trapezoids as possible while being as accurate as possible. Shots are then placed within these shapes according to a grid. Exact placement is tool-specific, but here the shots are placed on a 1nm grid and the tool offsets the grid lines for better edge accuracy. (Fig 5) FIG. 5. Illustrative waveguide (not to design size) at an arbitrary angle, standard fracturing (shapes not shown), with simulated shot placements shown. Shots are not shown at full size for clarity of illustration. Note that shot placements on the edges approximate the actual shape edge, but with significant alternations that contribute to edge roughness. 2. Experimental Single Line Edge Smoothing (SLS) Method The experimental fracturing method follows the same general flow as the baseline method, but modified to give extra attention to edge definition. First, prior to fracturing, all features are traced with a new polygon that is exactly one beam step size wide (6nm). This new shape is set 2/3 of a beam step size inwards from the line edge and overlaps the original feature by 1/3 of a shot pitch. To accomplish this, the original shape is biased down by 2/3 of a shot pitch, thus retaining the original design dimensions. This portion of the methodology is tool-agnostic and can be used across any EBL instrument. These steps 9

10 are automated within the BEAMER data processing flow, and the initial implementation of having an overlap between the single line and the bulk-fill shapes of 1/3 of a shot pitch was selected to provide reasonable dose continuity between the shapes, without excessive gaps or overlaps. The slight overlap of the Gaussian beams also provides an increase in the edge contrast of the exposure intensity. This overlap could be varied in future studies, if desired. Standard dose-modulation proximity effect correction was then applied. Since the single line shapes are contiguous with the filling trapezoids, the doses assigned to the single line shapes were identical to the immediately adjacent trapezoid shapes, although another variation for possible future exploration could be to artificially further modulate the single line doses, which might have additional effects on the line-edge roughness, depending on the resist response to dose. Making the best of the fractured data, as with any method, requires some toolspecific knowledge and formatting. In this case, the data is formatted by BEAMER to take advantage of an additional primitive shape of the JEOL JBX-6300FS system known as the single line shape, sometimes called a single-pass line. Unlike trapezoids, which are specified as a set of vertices and then filled with shots spaced by the exposure grid (6 nm in this work), single line shapes are specified as a starting point, a length, and an angle with shots placed in a straight line adhering to the same beam step size, but able to position each pixel the nearest point on the machine grid of 1 nm. An additional small dose modulation is automatically applied by the e-beam tool itself to account for increased pixel spacing due to the rotation angle of the single line. During the BEAMER export to the JEOL-specific pattern format, all of the single beam step size wide 10

11 trapezoids created earlier are converted into these single-line primitives for writing, providing a vector scan parallel to the shape edges, acting like a pencil to trace the edges of our shapes cleanly and smoothly. (Fig. 6) In this work, the patterns were processed into the data format specific to the JEOL machine. Some other direct-write e-beams also have similar single-line primitives, although the exact details and capabilities vary with each tool vendor. FIG. 6. Illustrative waveguide (not to design size) at an arbitrary angle, SLS fracturing (shapes not shown), with simulated shot placements shown. Shots are not shown at full size for clarity of illustration. Note that shot placements on the edges approximate the actual shape edge more closely than the standard fracturing shot placement by placing each shot on the 1 nm machine grid instead of the 6 nm shot pitch. D. Automated Measurements Measurements were conducted using an automated set of hardware and software 3, allowing for the testing of a far higher number of devices than would be possible with manual measurements. The system used consists of a four-fiber linear array, motorized X-Y stage, manual z-focus, and computer interface. The device uses information in the design CAD file to locate each set of input/output grating couplers, confirming the 11

12 location by doing a small spiral outwards while monitoring for maximum intensity returned which is assumed to be the appropriate measurement site. Light is then swept in a small range around the designed wavelength (here, 1550nm). Optical output is recorded by discrete sampling and stored in a text file for processing. (Fig. 7) For each device, the peak transmission value was compared to calibration structures to determine attenuation in db. Using this setup, over 1300 individual devices were measured for this experiment. E. Data Analysis Grating coupler insertion loss in db is determined from the transmission spectrum of the simple grating coupler loop described above by simply halving the total loss at the peak transmission wavelength, since the input and output will be reciprocal. A typical grating coupler transmission measurement result is shown in Figure 7a. For waveguides, the transmission loss in db/cm is determined by the slope of a linear regression of the measured peak waveguide transmission versus the waveguide length, with a typical family of transmission curves shown in Figure 7b, where the five transmission curves represent the five different lengths measured. Regression plots for straight and curved waveguides are shown in Figure 9. A representative transmission spectrum for a ring resonator is shown in Figure 7c. The Q-factor for a ring resonator is determined by fitting the central resonance peak with a Lorentzian function and then dividing the peak wavelength by the peak width. Interpretation of this value is complicated in that it has 12

13 contributions from both coupling and intrinsic waveguide loss, which are not completely separable, thus only total Q-factor change is reported. FIG. 7. (Color online) Example of data collected from automated measurements and displayed as a line graph of transmission (db) versus wavelength (nm). Examples are shown are characteristic for (a) grating coupler, (b) waveguide, and (c) ring resonator test structures. The multiple lines in (b) represent the different lengths of waveguides being measured. III. RESULTS A. Grating Coupler Insertion Loss 13

14 Grating couplers fabricated by the baseline method show a mean insertion loss of -8.99dB and a standard deviation of 0.43dB. Grating couplers fabricated by the experimental SLS method showed a mean insertion loss of -7.77dB with a standard deviation of 0.12dB. The SLS method, then, provides a mean loss reduction of approximately 1.2dB and uniformity improvement of approximately 3 times over the baseline. (Fig. 8). FIG. 8. (Color Online) Box and whisker plot showing grating coupler insertion loss values for both the baseline and SLS fracturing methods. B. Waveguide Transmission Loss Linear waveguides fabricated by the baseline method show a mean transmission loss of -1.9 db/cm with a standard error of 0.4 db/cm across both fabrication runs, while curved waveguides show a mean loss of -6.0 db/cm with a standard error of 0.6 db/cm. Linear waveguides fabricated by the experimental SLS method showed a mean transmission loss of -1.4dB/cm across both fabrication runs with a standard error of

15 db/cm, while curved waveguides show a mean loss of -4.5dB/cm with a standard error of 0.7 db/cm. The SLS method, then, displays a mean loss reduction of approximately 0.5dB/cm over the baseline for straight waveguides, and a reduction of 1.5dB/cm for curved waveguides. (Fig. 9). FIG. 9. (Color Online) Line graphs showing transmission (db) versus design length (µm) for both linear and curved waveguides for both baseline and SLS fracturing methods across both production runs studied. C. Ring Resonator Q-Factor Ring resonators fabricated by the baseline method show a mean total Q-factor of with a standard deviation of 4909, while those fabricated by the experimental SLS method showed a mean total Q-factor of with a standard deviation of The SLS method displays an improvement of approximately 27% over the baseline. (Fig. 10). 15

16 FIG. 10. (Color online) Box and whisker plot showing ring resonator total Q-factor values for both the baseline and SLS fracturing methods. IV. DISCUSSION While the data indicates that the SLS method of fracturing data does indeed provide improvements in silicon photonics devices, there are multiple factors that may contribute to the observed improvements. A. Line Edge Roughness The foundational knowledge going into this experiment is that line edge roughness (LER), or sidewall roughness, is known to have a dramatic impact on silicon photonics losses due to the simple fact that rough surfaces make poor mirrors. While every step in a fabrication process like this one has its own contributions to this line edge roughness, we have shown that for fabrication using electron beam lithography, fracturing strategies do impact how smoothly the shapes are drawn in the first place. Unfortunately, reliable direct measurement of line edge roughness was not possible with 16

17 the equipment available. However, as a simple example, rudimentary analysis of shot placements for various features, such as those shown in figures 5 and 6, predicts a theoretical reduction in the portion of line edge roughness attributable to shot placement from 4 nm to 1 nm. Of course, this simplistic analysis is not representative of an entire curved structure, but is provided only as an illustrative example of the LER reduction from the SLS method by the improved shot placement resolution of the single line edge features. This improved pixel placement of the SLS fracturing cannot in itself explain the observed improvement in linear waveguides, however, since the pixel placement on edges parallel to either of the scan axes is unchanged. B. SLS Overlap As described above, there is an overlap region of 1/3 of a beam step size between the single line features and the bulk of the designed structure after processing. Overlapping features represent zones that will receive higher doses due to being exposed by the electron beam more than once. The effects of this overlap is not established, but may explain some measure of the improvement observed in linear waveguide transmission loss. C. Critical Dimension Change Differences in feature sizes affect the performance of photonics structures. Since the pattern data is being directly biased as part of the SLS method, and the two shapes are written separately, there is some question over whether final dimensions are identical between the two fracturing methods. Direct SEM measurements of the samples studied here were inconsistent, but indicated a feature size growth of about 5 nm when using SLS 17

18 fracturing. Using the device measurements to calculate the group index values 4 and then estimating the linewidth change required to cause that change in group index predicts a growth of 7 nm. This feature growth for the SLS method likely contributes somewhat to the improved transmission loss, but generally width variations mostly affect the center frequency of the light that is confined to the structures. Collectively, these effects likely explain the observed performance improvements. The waveguide transmission improvement is well-explained by the expected reduction in line edge roughness from using single line edge smoothing. The uniformity improvement in the grating couplers is, however, particularly striking. This improvement can likely be attributed to the better shape edge fidelity and reduced line edge roughness of the subwavelength feature of the grating coupler, which is an 80 nm linewidth by far the smallest feature size in this study. Prior process characterization has shown the minimum viable feature size for this process is about 70 nm, so the 80 nm design point is not far from the process limit. It is not unexpected, then, that features this close to an edge condition should be more sensitive to even small process changes. V. SUMMARY AND CONCLUSION Despite some unknowns and some unquantifiable aspects of processing, the large sample size and consistency of general trend between production runs is clear. Utilizing electron beam lithography, silicon photonics losses are decreased by choice of fracturing strategies. New strategies utilizing SLS successfully reduce these losses by reducing sidewall roughness. 18

19 Opportunities for further investigation of this method include deeper investigation into the effect of the local dose change near the feature edge due to the small overlap introduced between the single line shapes and the filling trapezoids, the application to other devices such as Mach-Zehnder interferometers and photonic crystals, and the extension to using large beam-step sizes, which might allow higher writing throughput without loss of edge fidelity or increase in line edge roughness. TABLE I. A summary of loss improvements due to SLS for various silicon photonics features studied here. Metric Linear Waveguide Loss Curved Waveguide Loss Grating Coupler Insertion Loss Effect of Single Line Smoothing Improved by ~0.5 db/cm Improved by ~1.5 db/cm Improved by ~1.2 db Grating Coupler Uniformity Improved by ~3X Ring Resonator Q-factor Increased by ~27% 19

20 ACKNOWLEDGMENTS The authors would like to thank Uli Hofmann of GenISys GmbH for insightful discussions and non-author members of the Chrostowski research group at UBC for additional measurements. Part of this work was conducted at the Washington Nanofabrication Facility, a National Nanotechnology Coordinated Infrastructure (NNCI) site, which is supported in part by funds from the National Science Foundation. 1 R. J. Bojko, J. Li, L. He, T. Baehr-Jones, M. Hochberg, and Y. Aida, J. Vac. Sci. Technol. B 29, 06F309 (2011). 2 Y. Wang, X. Wang, J. Flueckiger, H. Yun, W. Shi, R.J. Bojko, N.A.F. Jaeger, L. Chrostowski, Opt. Express 22, (2014). 3 L. Chrostowski, M. Hochberg, Silicon Photonics Design (Cambridge University Press, Cambridge, 2015). 4 Z. Lu, J. Jhoja, J. Klein, X. Wang, A. Liu, J. Flueckiger, J. Pond, L. Chrostowski, Opt. Express 25, 9712 (2017). 20

21 Tables TABLE I. A summary of loss improvements due to SLS for various silicon photonics features studied here. Metric Linear Waveguide Loss Curved Waveguide Loss Grating Coupler Insertion Loss Effect of Single Line Smoothing Improved by ~0.5 db/cm Improved by ~1.5 db/cm Improved by ~1.2 db Grating Coupler Uniformity Improved by ~3X Ring Resonator Q-factor Increased by ~27% 21

22 Figure Captions FIG. 1. Subwavelength surface grating coupler as designed in CAD software. FIG. 2. CAD layout for grating coupler insertion loss testing featuring 2 subwavelength grating couplers spaced a fiber-width apart to accommodate testing setup connected by the shortest possible length of waveguide. Curvature is designed to not exceed critical angle above which light is more likely to scatter into the surrounding material. FIG. 3. CAD layout for waveguides showing curved and straight designs of varied lengths. Curved segments at ends of straight guides are for design compaction only and are assumed to have a negligible impact. FIG. 4. CAD layout for ring resonators showing the insertion couplers (connected) and the resonance measurement coupler (single coupler only). FIG. 5. Illustrative waveguide (not to design size) at an arbitrary angle, standard fracturing (shapes not shown), with simulated shot placements shown. Shots are not shown at full size for clarity of illustration. Note that shot placements on the edges approximate the actual shape edge, but with significant alternations that contribute to edge roughness. 22

23 FIG. 6. Illustrative waveguide (not to design size) at an arbitrary angle, SLS fracturing (shapes not shown), with simulated shot placements shown. Shots are not shown at full size for clarity of illustration. Note that shot placements on the edges approximate the actual shape edge more closely than the standard fracturing shot placement by placing each shot on the 1 nm machine grid instead of the 6 nm shot pitch. FIG. 7. (Color online) Example of data collected from automated measurements and displayed as a line graph of transmission (db) versus wavelength (nm). Examples are shown are characteristic for (a) grating coupler, (b) waveguide, and (c) ring resonator test structures. The multiple lines in (b) represent the different lengths of waveguides being measured. FIG. 8. (Color Online) Box and whisker plot showing grating coupler insertion loss values for both the baseline and SLS fracturing methods. FIG. 9. (Color Online) Line graphs showing transmission (db) versus design length (µm) for both linear and curved waveguides for both baseline and SLS fracturing methods across both production runs studied. FIG. 10. (Color online) Box and whisker plot showing ring resonator total Q-factor values for both the baseline and SLS fracturing methods. 23

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Introduction Xu Sun Laboratory of Photonics and Microwave Engineering, Royal Institute of Technology (KTH),

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

PROJECT PERIODIC REPORT

PROJECT PERIODIC REPORT PROJECT PERIODIC REPORT Grant Agreement number: 619456 Project acronym: SITOGA Project title: Silicon CMOS compatible transition metal oxide technology for boosting highly integrated photonic devices with

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

Amorphous silicon waveguides for microphotonics

Amorphous silicon waveguides for microphotonics 4 Amorphous silicon waveguides for microphotonics Amorphous silicon a-si was made by ion irradiation of crystalline silicon with 1 10 15 Xe ions cm 2 at 77 K in the 1 4 MeV energy range. Thermal relaxation

More information

Trench Structure Improvement of Thermo-Optic Waveguides

Trench Structure Improvement of Thermo-Optic Waveguides International Journal of Applied Science and Engineering 2007. 5, 1: 1-5 Trench Structure Improvement of Thermo-Optic Waveguides Fang-Lin Chao * Chaoyang University of Technology, Wufong, Taichung County

More information

Efficient, broadband and compact metal grating couplers for silicon-on-insulator waveguides

Efficient, broadband and compact metal grating couplers for silicon-on-insulator waveguides Efficient, broadband and compact metal grating couplers for silicon-on-insulator waveguides Stijn Scheerlinck, Jonathan Schrauwen, Frederik Van Laere, Dirk Taillaert, Dries Van Thourhout and Roel Baets

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

ADOPT Winter School Merging silicon photonics and plasmonics

ADOPT Winter School Merging silicon photonics and plasmonics ADOPT Winter School 2014 Merging silicon photonics and plasmonics Prof. Min Qiu Optics and Photonics, Royal Institute of Technology, Sweden and Optical Engineering, Zhejiang University, China Contents

More information

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors.

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors. c NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors. R.E. Smith, M.E. Warren, J.R. Wendt and G.A. Vawter Sandia National Laboratories Albuquerque, NM 87185-0603 Abstract: We have

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

Fundamentals of X-ray diffraction and scattering

Fundamentals of X-ray diffraction and scattering Fundamentals of X-ray diffraction and scattering Don Savage dsavage@wisc.edu 1231 Engineering Research Building (608) 263-0831 X-ray diffraction and X-ray scattering Involves the elastic scattering of

More information

Simulation of Vector Mode Grating Coupler Interfaces for Integrated Optics. Chris Nadovich

Simulation of Vector Mode Grating Coupler Interfaces for Integrated Optics. Chris Nadovich Simulation of Vector Mode Grating Coupler Interfaces for Integrated Optics Chris Nadovich Research Objective The novel combination of a forked holographic grating with a Bragg coupler structure to create

More information

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells The MIT Faculty has made this article openly available. Please share how this access benefits

More information

Title: Localized surface plasmon resonance of metal nanodot and nanowire arrays studied by far-field and near-field optical microscopy

Title: Localized surface plasmon resonance of metal nanodot and nanowire arrays studied by far-field and near-field optical microscopy Contract Number: AOARD-06-4074 Principal Investigator: Heh-Nan Lin Address: Department of Materials Science and Engineering, National Tsing Hua University, 101, Sec. 2, Kuang Fu Rd., Hsinchu 30013, Taiwan

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

Indium Phosphide Planar Integrated Optics Comes of Age. For planar integrated optics, the future has finally arrived

Indium Phosphide Planar Integrated Optics Comes of Age. For planar integrated optics, the future has finally arrived Indium Phosphide Planar Integrated Optics Comes of Age Jens Noeckel Tom Pierson Jane Zucker Nanovation Technologies For planar integrated optics, the future has finally arrived Integrated optics had its

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Polymer-based optical interconnects using nano-imprint lithography

Polymer-based optical interconnects using nano-imprint lithography Polymer-based optical interconnects using nano-imprint lithography Arjen Boersma,Sjoukje Wiegersma Bert Jan Offrein, Jeroen Duis, Jos Delis, Markus Ortsiefer, Geert van Steenberge, MikkoKarppinen, Alfons

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

4 Image Analysis of plastic deformation in the fracture of paper

4 Image Analysis of plastic deformation in the fracture of paper 4 Image Analysis of plastic deformation in the fracture of paper 4.1 Introduction As detailed in Chapter 2, one of the fundamental problems that arises in the estimation of the fracture toughness of an

More information

Modeling Of A Diffraction Grating Coupled Waveguide Based Biosensor For Microfluidic Applications Yixuan Wu* 1, Mark L. Adams 1 1

Modeling Of A Diffraction Grating Coupled Waveguide Based Biosensor For Microfluidic Applications Yixuan Wu* 1, Mark L. Adams 1 1 Modeling Of A Diffraction Grating Coupled Waveguide Based Biosensor For Microfluidic Applications Yixuan Wu* 1, Mark L. Adams 1 1 Auburn University *yzw0040@auburn.edu Abstract: A diffraction grating coupled

More information

Deep-etched fused silica grating as a (de)multiplexer for DWDM application at the wavelength of 1.55µm

Deep-etched fused silica grating as a (de)multiplexer for DWDM application at the wavelength of 1.55µm Deep-etched fused silica grating as a (de)multiplexer for DWDM application at the wavelength of 1.55µm Yanyan Zhang*, Changhe Zhou, Huayi Ru, Shunquan Wang Shanghai Institute of Optics and Fine Mechanics,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:.38/nphoton..7 Supplementary Information On-chip optical isolation in monolithically integrated nonreciprocal optical resonators Lei Bi *, Juejun Hu, Peng Jiang, Dong Hun

More information

Plasmonics using Metal Nanoparticles. Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio

Plasmonics using Metal Nanoparticles. Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio Plasmonics using Metal Nanoparticles Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio April 1, 2007 Motivation Why study plasmonics? Miniaturization of optics and photonics to subwavelength scales

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Nanophotonics: principle and application. Khai Q. Le Lecture 11 Optical biosensors

Nanophotonics: principle and application. Khai Q. Le Lecture 11 Optical biosensors Nanophotonics: principle and application Khai Q. Le Lecture 11 Optical biosensors Outline Biosensors: Introduction Optical Biosensors Label-Free Biosensor: Ringresonator Theory Measurements: Bulk sensing

More information

Near- and mid- infrared group IV photonics

Near- and mid- infrared group IV photonics Near- and mid- infrared group IV photonics C. G. Littlejohns 1,2, M. Saïd Rouifed 1, H. Qiu 1, T. Guo Xin 1, T. Hu 1, T. Dominguez Bucio 2, M. Nedeljkovic 2, G. Z. Mashanovich 2, G. T. Reed 2, F. Y. Gardes

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering D.R. Gibson, I.T. Brinkley, and J.L. Martin Applied Multilayers LLC, 1801 SE Commerce Avenue, Battle Ground, WA 98604

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

ECE280: Nano-Plasmonics and Its Applications. Week5. Extraordinary Optical Transmission (EOT)

ECE280: Nano-Plasmonics and Its Applications. Week5. Extraordinary Optical Transmission (EOT) ECE280: Nano-Plasmonics and Its Applications Week5 Extraordinary Optical Transmission (EOT) Introduction Sub-wavelength apertures in metal films provide light confinement beyond the fundamental diffraction

More information

Silicon Microparticle Ejection Using Mist-jet Technology

Silicon Microparticle Ejection Using Mist-jet Technology Yokoyama et al.: Silicon Microparticle Ejection Using Mist-jet Technology (1/5) [Technical Paper] Silicon Microparticle Ejection Using Mist-jet Technology Yoshinori Yokoyama*, Takaaki Murakami*, Takashi

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON Maxim Fadel and Edgar Voges University of Dortmund, High Frequency Institute, Friedrich-Woehler Weg 4, 44227 Dortmund, Germany ABSTRACT

More information

Microfluidic Channels for Silicon Photonic Chemical- and Bio-Sensors. Michael D Agati Mentor: Dr. Swapnajit Chakravarty PI: Professor Ray T.

Microfluidic Channels for Silicon Photonic Chemical- and Bio-Sensors. Michael D Agati Mentor: Dr. Swapnajit Chakravarty PI: Professor Ray T. Microfluidic Channels for Silicon Photonic Chemical- and Bio-Sensors Michael D Agati Mentor: Dr. Swapnajit Chakravarty PI: Professor Ray T. Chen 1 Background Optical Biosensors Figure 1: Overview of optical

More information

Phil Saunders, spacechannel.org

Phil Saunders, spacechannel.org Guidi with Phil Saunders, spacechannel.org ng Light Long-Range nge Plasmons Aloyse Degiron, Pierre Berini and David R. Smith Long-range surface plasmons are optical modes propagating along metallic circuits

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Surface plasmon dielectric waveguides

Surface plasmon dielectric waveguides Surface plasmon dielectric waveguides Igor I. Smolyaninov, Yu-Ju Hung, and Christopher C. Davis Department of Electrical and Computer Engineering, University of Maryland, College Park, MD 20742 Phone:

More information

Supplementary Figure 1. Schematic for the growth of high-quality uniform

Supplementary Figure 1. Schematic for the growth of high-quality uniform Supplementary Figure 1. Schematic for the growth of high-quality uniform monolayer WS 2 by ambient-pressure CVD. Supplementary Figure 2. Schematic structures of the initial state (IS) and the final state

More information

Examples of dry etching and plasma deposition at Glasgow University

Examples of dry etching and plasma deposition at Glasgow University Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch

More information

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO (glass) Major factor in making Silicon the main semiconductor Grown at high temperature in

More information

Supporting Information for the Manuscript: Dramatic. Increase In Polymer Glass Transition Temperature. Under Extreme Nanoconfinement In

Supporting Information for the Manuscript: Dramatic. Increase In Polymer Glass Transition Temperature. Under Extreme Nanoconfinement In Supporting Information for the Manuscript: Dramatic Increase In Polymer Glass Transition Temperature Under Extreme Nanoconfinement In Weakly-Interacting Nanoparticle Films Haonan Wang, Jyo Lyn Hor, Yue

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Surface Plasmon Effects in Nano-Optics. Greg Gbur Department of Physics and Optical Science, UNC Charlotte, Charlotte, North Carolina 28227

Surface Plasmon Effects in Nano-Optics. Greg Gbur Department of Physics and Optical Science, UNC Charlotte, Charlotte, North Carolina 28227 Surface Plasmon Effects in Nano-Optics Greg Gbur Department of Physics and Optical Science, UNC Charlotte, Charlotte, North Carolina 28227 Shanghai, Jan 2007 Summary Introduction: What is a surface plasmon?

More information

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors& ! Basic&Laboratory&! Materials&Science&and&Engineering& Etching&of&Semiconductors& M104&!!!as!of:!31.10.2013!! Aim: To gain a basic understanding of etching techniques, characterization, and structuring

More information

Submicron optical waveguides and microring resonators fabricated by selective oxidation of tantalum

Submicron optical waveguides and microring resonators fabricated by selective oxidation of tantalum Submicron optical waveguides and microring resonators fabricated by selective oxidation of tantalum Payam Rabiei, 1,* Jichi Ma, 1 Saeed Khan, 1,2 Jeff Chiles, 1 and Sasan Fathpour 1,2 1 CREOL, The College

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Advanced Test Equipment Rentals ATEC (2832)

Advanced Test Equipment Rentals ATEC (2832) Established 1981 Advanced Test Equipment Rentals www.atecorp.com 800-404-ATEC (2832) Agilent 11896A and 8169A Polarization Controllers Product Overview Automatic polarization state adjustments for polarizationdependent

More information

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Junbo Feng School of Optoelectronics Science and Engineering, Wuhan National Laboratory for Optoelectronics,

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION Measuring subwavelength spatial coherence with plasmonic interferometry Drew Morrill, Dongfang Li, and Domenico Pacifici School of Engineering, Brown University, Providence, RI 02912, United States List

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer Analysis of Oxide Thickness Measurement Techniques of SiO2: Nanometrics Nanospec Reflectometer and Color Chart Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering

More information

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

Monolithic Microphotonic Optical Isolator

Monolithic Microphotonic Optical Isolator Monolithic Microphotonic Optical Isolator Lei Bi, Juejun Hu, Dong Hun Kim, Peng Jiang, Gerald F Dionne, Caroline A Ross, L.C. Kimerling Dept. of Materials Science and Engineering Massachusetts Institute

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications

Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications Characterisation of Fe-Ni amorphous thin films for possible magnetostrictive sensor applications Contents 9.1 Introduction 9.2 Experiment 9.3 Results and Discussions 9.4 Conclusion 9.1 Introduction Magnetostrictive

More information

Fiber Bragg Gratings. Research, Design, Fabrication, and Volume Production. All capabilities within one company

Fiber Bragg Gratings. Research, Design, Fabrication, and Volume Production. All capabilities within one company Your Optical Fiber Solutions Partner Fiber Bragg Gratings Research, Design, Fabrication, and Volume Production OFS Fiber and Cable Division All capabilities within one company OFS Specialty Photonics Division

More information

iafor The International Academic Forum

iafor The International Academic Forum IPA Free Texturization Process for Monocrystalline Silicon Solar Cells by PTFE Mask Thipwan Fangsuwannarak, Suranaree University of Technology, Thailand The Asian Conference on Sustainability, Energy and

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Parameters Study to Improve Sidewall Roughness in Advanced Silicon Etch Process Hsiang-Chi Liu *, Yu-Hsin Lin **, Bruce C. S. Chou **, Yung-Yu Hsu **, Wensyang Hsu * * Department of Mechanical Engineering,

More information

Fs- Using Ultrafast Lasers to Add New Functionality to Glass

Fs- Using Ultrafast Lasers to Add New Functionality to Glass An IMI Video Reproduction of Invited Lectures from the 17th University Glass Conference Fs- Using Ultrafast Lasers to Add New Functionality to Glass Denise M. Krol University of California, Davis 17th

More information

ADVANCES in NATURAL and APPLIED SCIENCES

ADVANCES in NATURAL and APPLIED SCIENCES ADVANCES in NATURAL and APPLIED SCIENCES ISSN: 1995-0772 Published BYAENSI Publication EISSN: 1998-1090 http://www.aensiweb.com/anas 2017 May 11(7):pages 85-91 Open Access Journal High compact temperature

More information

Nanostructured Plasmonic Interferometers for Ultrasensitive Label-Free Biosensing. Fil Bartoli Lehigh University 4/9/2014

Nanostructured Plasmonic Interferometers for Ultrasensitive Label-Free Biosensing. Fil Bartoli Lehigh University 4/9/2014 Nanostructured Plasmonic Interferometers for Ultrasensitive Label-Free Biosensing Fil Bartoli Lehigh University 4/9/2014 P.C. Rossin College of Engineering and Applied Science Department of Electrical

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

Whispering gallery modes at 800 nm and 1550 nm in concentric Si-nc/Er:SiO 2 microdisks

Whispering gallery modes at 800 nm and 1550 nm in concentric Si-nc/Er:SiO 2 microdisks Whispering gallery modes at 800 nm and 1550 nm in concentric Si-nc/Er:SiO 2 microdisks Elton Marchena Brandon Redding Tim Creazzo Shouyuan Shi Dennis W. Prather Journal of Nanophotonics, Vol. 4, 049501

More information

CHAPTER 3. Experimental Results of Magnesium oxide (MgO) Thin Films

CHAPTER 3. Experimental Results of Magnesium oxide (MgO) Thin Films CHAPTER 3 Experimental Results of Magnesium oxide (MgO) Thin Films Chapter: III ---------------------------------------------------------------- Experimental Results of Magnesium oxide (MgO) Thin Films

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

Fabrication and Characterization of Two-Dimensional Photonic. Crystal Microcavities in Nanocrystalline Diamond

Fabrication and Characterization of Two-Dimensional Photonic. Crystal Microcavities in Nanocrystalline Diamond Fabrication and Characterization of Two-Dimensional Photonic Crystal C. F. Wang, a),b) R. Hanson, a) D. D. Awschalom, a)c) E. L. Hu c),d) University of California, Santa Barbara, California 93106 T. Feygelson,

More information

1. SCOPE 2. REFERENCED DOCUMENTS

1. SCOPE 2. REFERENCED DOCUMENTS Illinois Test Procedure 405 Effective Date: January 1, 2016 Determining the Fracture Potential of Asphalt Mixtures Using the Illinois Flexibility Index Test (I-FIT) 1. SCOPE 1.1. This test method covers

More information

SPP waveguides. Introduction Size Mismatch between Scaled CMOS Electronics and Planar Photonics. dielectric waveguide ~ 10.

SPP waveguides. Introduction Size Mismatch between Scaled CMOS Electronics and Planar Photonics. dielectric waveguide ~ 10. SPP waveguides Introduction Size Mismatch between Scaled CMOS Electronics and Planar Photonics CMOS transistor: Medium-sized molecule dielectric waveguide ~ 10 Silicon Photonics? Could such an Architecture

More information

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape Beam Leads The vast majority of chips are intended for connection with thermosonic bonds: all other methods require some modification to the wafer. As early as 1972, Jordan described three gang-bonding

More information

Nanotechnology makes brighter LED s. Michael P.C. Watts

Nanotechnology makes brighter LED s. Michael P.C. Watts Nanotechnology makes brighter LED s Michael P.C. Watts www.impattern.com Outline Why are LED s such a big deal? Brightness; lumens per watt & lumens per dollar Applications How does nanotechnology help?

More information

Diffusion Doped Plasma Dispersion Silicon Modulators

Diffusion Doped Plasma Dispersion Silicon Modulators Diffusion Doped Plasma Dispersion Silicon Modulators Vadivukkarasi Jeyaselvan a and Shankar Kumar Selvaraja a a Centre for Nano Science and engineering, Indian Institute of Science, Bengaluru, India ABSTRACT

More information

A diffused silicon pressure transducer with stress concentrated at transverse gages. Technical paper 267

A diffused silicon pressure transducer with stress concentrated at transverse gages. Technical paper 267 A diffused silicon pressure transducer with stress concentrated at transverse gages Technical paper 267 A diffused silicon pressure transducer with stress concentrated at transverse gages Many Meggitt

More information

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength

A High Speed Surface Illuminated Si Photodiode. Using Microstructured Holes for Absorption. Enhancements at nm wavelength A High Speed Surface Illuminated Si Photodiode Using Microstructured Holes for Absorption Enhancements at 900 1000 nm wavelength Supporting Information Yang Gao, Hilal Cansizoglu, Soroush Ghandiparsi,

More information

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER Chapter 7 66 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER 7.1 Introduction In recent years, polymer dye lasers have attracted much attention due to their low-cost processing, wide choice

More information

Standard Test Method for Determining the Tensile Properties of an Insulating Glass Edge Seal for Structural Glazing Applications 1

Standard Test Method for Determining the Tensile Properties of an Insulating Glass Edge Seal for Structural Glazing Applications 1 Designation: C1265 94 (Reapproved 2005) 1 Standard Test Method for Determining the Tensile Properties of an Insulating Glass Edge Seal for Structural Glazing Applications 1 This standard is issued under

More information

Examination of Analytical Conditions for Trace Elements Based on the Detection Limit of EPMA (WDS)

Examination of Analytical Conditions for Trace Elements Based on the Detection Limit of EPMA (WDS) Examination of Analytical Conditions for Trace Elements ased on the Detection Limit of EPMA () Ayako Sato, Norihisa Mori, Masaru Takakura and Satoshi Notoya Electron Optics Division, JEOL Ltd. Introduction

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

PULSED LASER WELDING

PULSED LASER WELDING PULSED LASER WELDING Girish P. Kelkar, Ph.D. Girish Kelkar, Ph.D, WJM Technologies, Cerritos, CA 90703, USA Laser welding is finding growing acceptance in field of manufacturing as price of lasers have

More information

Photonic Crystal Microarray Nanoplatform for High-Throughput Detection of Biomolecules

Photonic Crystal Microarray Nanoplatform for High-Throughput Detection of Biomolecules Photonic Crystal Microarray Nanoplatform for High-Throughput Detection of Biomolecules Swapnajit Chakravarty 1, Wei-Cheng Lai 2, Kathryn Moncivais 3, Xiaolong (Alan) Wang 1, Che-Yun Lin 2, Zhiwen J. Zhang

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Photonics applications IV. Fabrication of GhG optical fiber Fabrication of ChG planar waveguide Fabrication of ChG PC structure

Photonics applications IV. Fabrication of GhG optical fiber Fabrication of ChG planar waveguide Fabrication of ChG PC structure Photonics applications IV Fabrication of GhG optical fiber Fabrication of ChG planar waveguide Fabrication of ChG PC structure 1 Why does fabrication issue matter for photonics applications? Geometrical

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

Fabrication of photonic band-gap crystals

Fabrication of photonic band-gap crystals Fabrication of photonic band-gap crystals C. C. Cheng and A. Scherer California Institute of Technology, Pasadena, California 91125 Received 19 June 1995; accepted 9 August 1995 We describe the fabrication

More information