Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014

Size: px
Start display at page:

Download "Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014"

Transcription

1 Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014 Nikhil Dakwala Broadcom Corporation, Irvine, CA 3/30/2014 1

2 Presentation Outline Terms and acronyms Need for data mining Supervised learning-based data mining Cluster analysis through Fuzzy clustering Decision trees Rapid deployment in Perl Conclusion 3/30/2014 IEEE STC

3 Terms and Acronyms Silicon: Integrated circuit (IC) chip ATE: Automatic Test Equipment (testers) ATPG: Automatic Test Pattern Generation TVF: Three operating corners: temperature, voltage, and frequency SA: Short at VDD or short at ground faults Shmoo: Steady ramp up/down of voltage vs. frequency in regular steps, for a pattern or group of patterns, on ATE CDC: Clock Domain Crossing DM: Data Mining DT: Decision Tree 3/30/2014 IEEE STC

4 IC Test and Debug Flow Software Model of IC Chip Debug and Fix Fail ATPG ATE Pass Ship to Customer 3/30/2014 IEEE STC

5 Need for Data Mining $ Profit Debug Window Volume Production Net $$s Cost $ Cost NRE Design Mask Time Tremendous Amount of Failure Data 3/30/2014 IEEE STC

6 Data Mining: Supervised Knowledge Discovery Visual Inspection Repeated patterns? Yes No Stop Custom Stats, Avg/Median Sort Automate Pattern Inspection 3/30/2014 IEEE STC

7 Data Mining Techniques Cluster analysis Fuzzy clustering Decision trees 3/30/2014 IEEE STC

8 Clustering: Mine ATE Logs Outliers ATE Fails DM Failure Pin Stats T/V/F Sensitivity Failure Test Stats 3/30/2014 IEEE STC

9 Fuzzy Clustering i_rx1_e1/ifo_mem_/data_from_mem_pipeline_reg_39_/q i_rx2_e0/ifo_mem_/data_from_mem_pipeline_reg_3_/q i_rx3_e1/ifo_mem_/data_from_mem_pipeline_reg_89_/q i_cfd_e1/ifo_mem_/data_from_mem_pipeline_reg_5_/q i_txrx_e1/ifo_mem_/data_from_mem_pipeline_reg_02_/q i_rxtx_e1/ifo_mem_/data_from_mem_pipeline_reg_1_/q i_nm_e1/ifo_mem_/data_from_mem_pipeline_reg_04_/q i_ps_e1/ifo_mem_/data_from_mem_pipeline_reg_62_/q 3/30/2014 IEEE STC

10 Decision Trees: Analyze Failure ATE Fails Data DM Failure Pin Stats T/V/F ASCII Shmoo Plot Pattern Name ===> chain.pat Freq_Start = MHz Freq_Stop = MHz Freq_Inc = MHz CoreV_Start = 0.900V CoreV_Max = 1.300V CoreV_Inc = 0.100V PASS = '*' FAIL = '-' CORE VOLTAGE MHZ --** MHZ -*** MHZ -*** MHZ -*** MHZ -*** MHZ -*** 3/30/2014 IEEE STC

11 Voltage Sensitivity Decision Tree N Bad Part Tests Pass at 1V? N ATE Fails Tests Pass at 1.2V? Y Voltage Sensitive Part Y Good Part DM Failure Pin Stats If-then-else parsing, T/V/F failure statistics T/V/F ASCII Shmoo Plot Pattern Name ===> chain.pat Freq_Start = MHz Freq_Stop = MHz Freq_Inc = MHz CoreV_Start = 0.900V CoreV_Max = 1.300V CoreV_Inc = 0.100V PASS = '*' FAIL = '-' CORE VOLTAGE MHZ --** MHZ -*** MHZ -*** MHZ -*** MHZ -*** MHZ -*** 3/30/2014 IEEE STC

12 Rapid Deployment: Clock Domain Crossing (CDC) Clock A Clock B Source Logic Destination 3/30/2014 IEEE STC

13 Problem: Testing Huge Cones Clock A Clock B Source Destination Source Destination 3/30/2014 IEEE STC

14 Perl-Based Rapid Deployment Regular expressions. Pattern matching and substitutions are ideal for fuzzy clustering. Hash tables and sorting. 3/30/2014 IEEE STC

15 DM: Parse CDC Data #extract clock #extract end-point #process_store_node ($clock,$end_point,"end_point") 3rd argument is data-direction in hash data storage #extract start-point #process_store_node($clock, $start_point,"start_point ) 3/30/2014 IEEE STC

16 Cluster Subroutine #store node according to data-direction, and update counts Data structure to store full nodes $dat_hash{full}{$direction}{$node}{count}++; $dat_hash{full}{$direction}{$node}{clocks} Fuzzify and store fuzzy statistics $fuzed_node = &fuzzify_name($node); $dat_hash{fuz}{$direction}{$fuzed_node}{count}++; $dat_hash{fuz}{$direction}{$fuzed_node}{clocks} 3/30/2014 IEEE STC

17 Fuzzy Clustering in Perl sub fuzzify_name { local ($fn_name) $fn_name =~ s/reg_*//ig; $fn_name =~ s/inst_*//ig; $fn_name =~ s/_top//g; $fn_name =~ s/\d+_*//ig; $fn_name =~ s/_+/_/g; return $fn_name; } #sub fuzzify_name #remove register string #remove instance string #remove hierarchy #remove bit indices #remove hierarchy delimiter 3/30/2014 IEEE STC

18 Conclusion Rapid deployment of data mining. Leverage engineer s knowledge. Extract intelligence, outliers. Higher-level programming languages like Perl, Python, TCL, etc. 3/30/2014 IEEE STC

19 Q&A 3/30/2014 IEEE STC

20 Chip Life-Cycle & Defect Costs 1000 x 1000 x 1000 x.. $$ 100 $ 10 $ 1 $ 0.1 $ 0.01 $ Customer/Field Returns/Legal Burn in/stress Test System Test ATE: Post-Assembly Individual Module Test Wafer Probe Test Test Generation & Validation $ Assumed cost Software Model Test Costs 3/30/2014 IEEE STC

Alternatives to Vertical Probing

Alternatives to Vertical Probing Alternatives to Vertical Probing Philip W. Seitzer Distinguished Member of Technical Staff Equipment Engineering & Development Lucent Technologies, Allentown, PA 6/4/00 1 Outline Vertical Probing Background

More information

Optimizing the cost of test for multi die systems in packaging (SIP) Presented at MEPTEC Omer Dossani, Sr. Director Test Technology and Marketing

Optimizing the cost of test for multi die systems in packaging (SIP) Presented at MEPTEC Omer Dossani, Sr. Director Test Technology and Marketing Optimizing the cost of test for multi die systems in packaging (SIP) Presented at MEPTEC Omer Dossani, Sr. Director Test Technology and Marketing Introduction The cost of test is a vast field which starts

More information

Test Item Priority Estimation for High Parallel Test Efficiency under ATE Debug Time Constraints

Test Item Priority Estimation for High Parallel Test Efficiency under ATE Debug Time Constraints Test Item Priority Estimation for High Parallel Test Efficiency under ATE Debug Time Constraints Young-woo Lee 1, Inhyuk Choi 1, Kang-Hoon Oh 2, James Jinsoo Ko 2 and Sungho Kang 1 1 Dept. of Electrical

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

Kun Young Chung Design Technology Team System LSI Samsung Electronics

Kun Young Chung Design Technology Team System LSI Samsung Electronics 2012 Test Technology Workshop (Oct. 31, 2012) IDC-System LSI Business 0 Kun Young Chung Design Technology Team System LSI Samsung Electronics An Overview: Test Challenges in 3D ICs Design (Design-for-Test)

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

Data Management and Data Analysis Solutions in Manufacturing : 10 Years Experience at ALTIS Semiconductor

Data Management and Data Analysis Solutions in Manufacturing : 10 Years Experience at ALTIS Semiconductor Data Management and Data Analysis Solutions in Manufacturing : 10 Years Experience at ALTIS Semiconductor Agenda Altis Semiconductor Yield Management in Manufacturing Data Management System Data Analysis

More information

IC Integrated Manufacturing Outsourcing Solution

IC Integrated Manufacturing Outsourcing Solution IC Integrated Manufacturing Outsourcing Solution Integrated One-Stop Service Mature and Low Cost Loop for IC Manufacturing Taiwan s Comprehensive Resources Fast and Easy Engagement to Our Solution Professional

More information

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 Powe r Gap Power Budgeting Challenge Design Trends Increasing Power Gap Ref: Cisco Multi-IP, multi-core integration Reduced battery life Ref: Samsung, Asia Tech Forum

More information

Technical Viability of Stacked Silicon Interconnect Technology

Technical Viability of Stacked Silicon Interconnect Technology Technical Viability of Stacked Silicon Interconnect Technology Dr. Handel H. Jones Founder and CEO, IBS Inc. Los Gatos, California October 2010 TECHNICAL VIABILITY OF STACKED SILICON INTERCONNECT TECHNOLOGY

More information

inemi Test and Inspection TIG

inemi Test and Inspection TIG inemi Test and Inspection TIG August 21, 2018 Recording (available up to 6 months after webinar) https://inemi.webex.com/inemi/ldr.php?rcid=b515f6993fd3fa1dc3abbfefebcfe4a6 inemi Technology Integration

More information

Package Defect Test System and Its Application in Assembly Improvement

Package Defect Test System and Its Application in Assembly Improvement Package Defect Test System and Its Application in Assembly Improvement Shaari Ripin 1, M Mamunur Rashid Mohd Firdaus 1, Gopi Nathan Sathia 1, Xue Ming 1 1 Infineon Technologies Melaka, Malaysia Abstract

More information

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster Virtualizer ``Overview ``The Impact of ``What are Virtual Prototypes? ``Competitive Electronic Products Faster ``Use Virtual Prototyping from Specification to Deployment ``Virtualizer Technical Specification

More information

USING R IN SAS ENTERPRISE MINER EDMONTON USER GROUP

USING R IN SAS ENTERPRISE MINER EDMONTON USER GROUP USING R IN SAS ENTERPRISE MINER EDMONTON USER GROUP INTRODUCTION PAT VALENTE, MA Solution Specialist, Data Sciences at SAS. Training in Economics and Statistics. 20 years experience in business areas including

More information

OILFIELD ANALYTICS: OPTIMIZE EXPLORATION AND PRODUCTION WITH DATA-DRIVEN MODELS

OILFIELD ANALYTICS: OPTIMIZE EXPLORATION AND PRODUCTION WITH DATA-DRIVEN MODELS Sas day 2014 OILFIELD ANALYTICS: OPTIMIZE EXPLORATION AND PRODUCTION WITH DATA-DRIVEN MODELS AGENDA The Intelligent Field Data Mining Virtuous Cycle Data Mining: What is it? Data Mining: O&G Input Space

More information

Manufacturing Intelligence. COMPAnY OVerVieW

Manufacturing Intelligence. COMPAnY OVerVieW Manufacturing Intelligence COMPAnY OVerVieW LeVerAging PrODUCt DAtA AnALYtiCS FOr SMArt MAnUFACtUring AnD HIGHER PERFORMING PRODUCTS the industrial internet of things (iiot) will have a tremendous effect

More information

Challenges for Performance Analysis in High-Performance RC

Challenges for Performance Analysis in High-Performance RC Challenges for Performance Analysis in High-Performance RC July 20, 2007 Seth Koehler Ph.D. Student, University of Florida John Curreri Ph.D. Student, University of Florida Dr. Alan D. George Professor

More information

Case Study: A Tool Centric Approach for Fault Avoidance in Microchip Designs

Case Study: A Tool Centric Approach for Fault Avoidance in Microchip Designs Case Study: A Tool Centric Approach for Fault Avoidance in Microchip Designs Clemente Izurieta Department of Computer Science Colorado State University Fort Collins, Colorado, USA cizuriet@cs.colostate.edu

More information

Introduction to Software Testing

Introduction to Software Testing Introduction to Software Testing Introduction Chapter 1 introduces software testing by : describing the activities of a test engineer defining a number of key terms explaining the central notion of test

More information

Lessons Learned in Deploying Part Average Testing in a Production Environment

Lessons Learned in Deploying Part Average Testing in a Production Environment The World Leader in High Performance Signal Processing Solutions Lessons Learned in Deploying Part Average Testing in a Production Environment Kieran Horgan, Analog Devices Philippe Lejeune, Galaxy Semiconductor

More information

Anadigm FPAA Solutions Training Class II

Anadigm FPAA Solutions Training Class II Anadigm FPAA Solutions Training Class II AnadigmApex On Line Customer Seminar Series Nov 2016 page 1 Learning goals AnadigmApex FPAA switched capacitor technology AnadigmApex silicon components and architecture

More information

Mining IC Test Data to Optimize VLSI Testing

Mining IC Test Data to Optimize VLSI Testing 1 Mining IC Test Data to Optimize VLSI Testing Tony Fountain Thomas Dietterich Bill Sudyka San Diego Supercomputer Center Computer Science Dept. Hewlett Packard Co. University of California, San Diego

More information

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest Escape prevention & RMA management Dan Glotter CEO & Founder OptimalTest Trends driving quality (1) -- Wafer level packaging -- (WLCSP WCSP WLP WLBGA) For the last few years new Wafer Level Packaging technology

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Test Flow for Advanced Packages (2.5D/SLIM/3D) 1 Test Flow for Advanced Packages (2.5D/SLIM/3D) Gerard John Amkor Technology Inc. Gerard.John@amkor.com 2045 East Innovation Circle, Tempe, AZ 85284, USA Phone: (480) 821-5000 ADVANCED PACKAGE TEST FLOW

More information

SEMI Draft Document 5204 NEW STANDARD: Test Method for Mechanical Vibration of c-si PV Cells in Shipping Environment

SEMI Draft Document 5204 NEW STANDARD: Test Method for Mechanical Vibration of c-si PV Cells in Shipping Environment SEMI Draft Document 5204 NEW STANDARD: Test Method for Mechanical Vibration of c-si PV Cells in Shipping Environment 1 Purpose 1.1 For c-si technology, one of the problems is to identify and eliminate

More information

A PACKAGING PHYSICS OF FAILURE BASED TESTING METHODOLOGY FOR SEMICONDUCTOR IC PART RELIABILITY ASSESSMENT

A PACKAGING PHYSICS OF FAILURE BASED TESTING METHODOLOGY FOR SEMICONDUCTOR IC PART RELIABILITY ASSESSMENT As originally published in the SMTA Proceedings. A PACKAGING PHYSICS OF FAILURE BASED TESTING METHODOLOGY FOR SEMICONDUCTOR IC PART RELIABILITY ASSESSMENT Jingsong Xie and Ming Sun RelEng Technologies,

More information

DRIVING SEMICONDUCTOR MANUFACTURING BUSINESS PERFORMANCE THROUGH ANALYTICS

DRIVING SEMICONDUCTOR MANUFACTURING BUSINESS PERFORMANCE THROUGH ANALYTICS www.wipro.com DRIVING SEMICONDUCTOR MANUFACTURING BUSINESS PERFORMANCE THROUGH ANALYTICS Manoj Ramanujam Table of Contents 03... Introduction 03... Semiconductor Industry Overview 05... Data Sources and

More information

Keywords: Industries, conveyors, IR sensors, LCD display Introduction:

Keywords: Industries, conveyors, IR sensors, LCD display Introduction: AUTOMATED CONVEYOR BELTS FOR OBJECT COUNTING IN SMALL-SCALE INDUSTRIES M.N.S.LAHARI [1],Dr.P.VENKATESAN [2] email id:mns.lahari@gmail.com SRI CHANDRASEKHARENDRA SARASWATI VISHWA MAHA VIDYALAYA,KANCHEEPURAM

More information

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis DATASHEET YieldManager Customizable yield management for IC manufacturers Overview For semiconductor foundries and IDMs that must maintain high yield for their products and real-time identification of

More information

Application of DO-254 Level A (Appendix B) Design Assurance Objectives of. Elemental Analysis. Mixed Signal (Analog/Digital) Discrete Circuitry

Application of DO-254 Level A (Appendix B) Design Assurance Objectives of. Elemental Analysis. Mixed Signal (Analog/Digital) Discrete Circuitry Application of DO-254 Level A (Appendix B) Design Assurance Objectives of Elemental Analysis To Mixed Signal (Analog/Digital) Discrete Circuitry By Dave Duncan Purple Seal Inc. THE INFORMATION CONTAINED

More information

Boundary-scan Technology, Justification, and Test Implementation For Designers

Boundary-scan Technology, Justification, and Test Implementation For Designers Boundary-scan Technology, Justification, and Test Implementation For Designers JEFFERY C. PHILLIPS Sr. Technical Consultant Hewlett-Packard Co. 29 Burlington Mall Rd. Burlington, MA USA 01803 jeff_phillips@hp.com

More information

Stuart Gillen. Principal Marketing Manger. National Instruments ni.com

Stuart Gillen. Principal Marketing Manger. National Instruments ni.com Stuart Gillen Principal Marketing Manger National Instruments stuart.gillen@ New Enterprise Solution for Condition Monitoring Applications NI InsightCM Enterprise NI History of Condition Monitoring Order

More information

Reducing Product Time-to-Market based on Shorting the Design Cycle

Reducing Product Time-to-Market based on Shorting the Design Cycle 1 Reducing Product Time-to-Market based on Shorting the Design Cycle Implementation at Elbit systems - Elisra Weinstock Israel - Elisra, Yitzhak Bot - BQR, Uri Tolchin - Elisra Abstract The article introduces

More information

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT AN INTEL COMPANY WIND RIVER SIMICS Electronic systems are becoming increasingly complex, with more hardware, more software, and more connectivity. Current systems are software intensive, often containing

More information

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

VHDL Introduction. EL 310 Erkay Savaş Sabancı University VHDL Introduction EL 310 Erkay Savaş Sabancı University 1 What is VHDL? VHDL stands for VHSIC Hardware Description Language VHSIC =Very High-Speed Integrated Circuit Initialized by US DoD as a sponsored

More information

IMPLEMENTATION OF LOW POWER ASIC DESIGN BY SCRIPTED FLOW APPROACH. Abstract

IMPLEMENTATION OF LOW POWER ASIC DESIGN BY SCRIPTED FLOW APPROACH. Abstract IMPLEMENTATION OF LOW POWER ASIC DESIGN BY SCRIPTED FLOW APPROACH Mr. Navandar Rajesh Kedarnath 1 & Deokar Subhash Mahadeo 2, Ph. D. Abstract This paper focusing on automated flow using script for physical

More information

An Early Defect Elimination Best Practice. Duvan Luong, Ph.D. Operational Excellence Networks

An Early Defect Elimination Best Practice. Duvan Luong, Ph.D. Operational Excellence Networks An Early Defect Elimination Best Practice Duvan Luong, Ph.D. Operational Excellence Networks Peer Review and Inspection Definition: Peer Review is the methodical examination of software work products by

More information

Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers

Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers Latent-Defect Screening Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers Ritesh P. Turakhia and W. Robert Daasch Portland State University Joel Lurkins

More information

High Volume Signal and Power Integrity Design for ASICs

High Volume Signal and Power Integrity Design for ASICs High Volume Signal and Power Integrity Design for ASICs Brian Young brian.young@ti.com Agenda Background SI Methodology Outline SI Numerical Example PI Methodology Outline PI Numerical Example Summary

More information

Development of Software for the Microcontroller Based Automated Drip Irrigation System Using Soil Moisture Sensor

Development of Software for the Microcontroller Based Automated Drip Irrigation System Using Soil Moisture Sensor International Journal of Current Microbiology and Applied Sciences ISSN: 2319-7706 Volume 7 Number 01 (2018) Journal homepage: http://www.ijcmas.com Original Research Article https://doi.org/10.20546/ijcmas.2018.701.169

More information

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools.

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools. Higher National Unit Specification General information for centres Unit code: DG3P 35 Unit purpose: This Unit is designed to enable candidates to gain some knowledge and understanding of the architecture

More information

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software

Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Accelerating Xilinx All Programmable FPGA and SoC Design Verification with Blue Pearl Software Introduction Xilinx All Programmable FPGAs and SoCs are used across multiple markets, powering applications

More information

IBM SPSS Modeler Personal

IBM SPSS Modeler Personal IBM SPSS Modeler Personal Make better decisions with predictive intelligence from the desktop Highlights Helps you identify hidden patterns and trends in your data to predict and improve outcomes Enables

More information

Liquid Interface at Wafer Test

Liquid Interface at Wafer Test IBM Microelectronics Liquid Interface at Wafer Test Phil Diesing, David Gardell, David Audette SWTW 2005 P. Diesing 6/4/05 Agenda Why liquid thermal interface? Existing thermal problems at module test

More information

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics 12 INTRODUCTION With ASIC complexity on the increase and unrelenting time-to-market

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Analytics in the Cloud, Cross Functional Teams, and Apache Hadoop is not a Thing Ryan Packer, Bank of New Zealand

Analytics in the Cloud, Cross Functional Teams, and Apache Hadoop is not a Thing Ryan Packer, Bank of New Zealand Paper 2698-2018 Analytics in the Cloud, Cross Functional Teams, and Apache Hadoop is not a Thing Ryan Packer, Bank of New Zealand ABSTRACT Digital analytics is no longer just about tracking the number

More information

Alfred Kärcher GmbH&Co.KG Alfred-Kärcher-Straße D Winnenden

Alfred Kärcher GmbH&Co.KG Alfred-Kärcher-Straße D Winnenden Supplement to the Quality Assurance Agreement* Quality Guideline for Electronics Assemblies between Alfred Kärcher GmbH & Co. KG, Alfred-Kärcher-Str. 28-40 71364 Winnenden - referred to as Kärcher in the

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation August 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation May 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and solutions

More information

Datameer for Data Preparation: Empowering Your Business Analysts

Datameer for Data Preparation: Empowering Your Business Analysts Datameer for Data Preparation: Empowering Your Business Analysts As businesses strive to be data-driven organizations, self-service data preparation becomes a critical cog in the analytic process. Self-service

More information

Digital Testing. Lecture 8: Testability Measures

Digital Testing. Lecture 8: Testability Measures Digital Testing Lecture 8: Testability Measures Instructor: Shaahin Hessabi Department of Computer Engineering Sharif University of Technology Adapted from lecture notes prepared by the book authors Sharif

More information

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Raghunandan Chaware, Ganesh Hariharan, Jeff Lin, Inderjit Singh, Glenn O Rourke, Kenny Ng, S. Y. Pai Xilinx Inc.

More information

Data Warehousing. and Data Mining. Gauravkumarsingh Gaharwar

Data Warehousing. and Data Mining. Gauravkumarsingh Gaharwar Data Warehousing 1 and Data Mining 2 Data warehousing: Introduction A collection of data designed to support decisionmaking. Term data warehousing generally refers to the combination of different databases

More information

Automating Consolidated Yield Calculations at Final Test for MEMSbased Clocking Devices

Automating Consolidated Yield Calculations at Final Test for MEMSbased Clocking Devices Automating Consolidated Yield Calculations at Final Test for MEMSbased Clocking Devices Carl Arft, PhD. Technical Director, SiTime ca@sitime.com Dan King, Sr. Applications Engineer, Galaxy dan.king@galaxysemi.com

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2014 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

Automation in 20 Minutes. Testing Isn t Just Checking

Automation in 20 Minutes. Testing Isn t Just Checking Automation in 20 Minutes Michael Bolton DevelopSense http://www.developsense.com TESTREK Toronto Managers Workshop October, 2009 1 Testing Isn t Just Checking Checking is a process of confirming and verifying

More information

INSTRUMENT INTERFACING SOLUTIONS LimsLink is a Windows -based software package that links your instruments and LIMS into a seamless, automated solutio

INSTRUMENT INTERFACING SOLUTIONS LimsLink is a Windows -based software package that links your instruments and LIMS into a seamless, automated solutio WHY HAS LimsLink BECOME THE STANDARD FOR INSTRUMENT INTERFACING? 1 Rapid Deployment Creating new instrument interfaces with LimsLink is quick and easy. Once you understand your requirements, a new interface

More information

Spectrum PowerCC Energy Control The Control System for Industrial Networks

Spectrum PowerCC Energy Control The Control System for Industrial Networks Spectrum PowerCC Energy Control The Control System for Industrial Networks Power Transmission and Distribution Competition in industry is leading to increased cost pressure, which is forcing many companies

More information

Transmission Loss (TL) Basics

Transmission Loss (TL) Basics NOISE CONTROL DESIGN not an architecturally-desirable approach to noise control Ball State Architecture ENVIRONMENTAL SYSTEMS 1 Grondzik 1 Transmission Loss (TL) Basics Mass Law: in theory, TL will increase

More information

8. Description, Architecture, and Features

8. Description, Architecture, and Features 8. Description, Architecture, and Features H51007-2.3 Introduction HardCopy APEX TM devices extend the flexibility of high-density FPGAs to a cost-effective, high-volume production solution. The migration

More information

Big Data Executive Program

Big Data Executive Program Big Data Executive Program Big Data Executive Program Data science using Big Data (DS) SAS Business Analytics along with visual analytics brings in the capability to support the business and management

More information

13. Back-End Design Flow for HardCopy Series Devices

13. Back-End Design Flow for HardCopy Series Devices 13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.

More information

Our customers' product lifecycle & Amkor Test Services Development Introduction Growth Maturity Decline

Our customers' product lifecycle & Amkor Test Services Development Introduction Growth Maturity Decline Semiconductor IC Test Services Amkor provides a complete range of semiconductor testing services including wafer testing, various types of final testing, system level testing, strip testing and complete

More information

Testability Analysis

Testability Analysis Testability Analysis 1 Why Testability Analysis? Need approximate measure of: Difficulty of setting internal circuit lines to 0 or 1 by setting primary input values. Difficulty of observing internal circuit

More information

Migration Techniques & Strategies

Migration Techniques & Strategies Migration Techniques & Strategies Dilemma of Change Hardware Cost Software Cost Re-training of: Systems personnel Operations personnel Users Business Impact Cost Cycle time to system implementation Options

More information

Intelligence and. Vivek Kaie

Intelligence and. Vivek Kaie Enterprise Performance Intelligence and Decision Patterns Vivek Kaie /0\ CRC Press \CtJ Taylor & Francis Croup V- 'S Boca Raton London New York CRC Press is an imprint of the Taylor & Francis Group, an

More information

Introduction to Software Engineering

Introduction to Software Engineering CHAPTER 1 Introduction to Software Engineering Structure 1.1 Introduction Objectives 1.2 Basics of Software Engineering 1.3 Principles of Software Engineering 1.4 Software Characteristics 1.5 Software

More information

Bussines Development Manager Rimses. Pre-Sales consultant Analytics SAS. Sr. Pre-Sales Consultant Rimses

Bussines Development Manager Rimses. Pre-Sales consultant Analytics SAS. Sr. Pre-Sales Consultant Rimses Bussines Development Manager Rimses Pre-Sales consultant Analytics SAS Sr. Pre-Sales Consultant Rimses PREDICTIVE MAINTENANCE ADRIAAN VAN HORENBEEK PREDICTIVE MAINTENANCE VS. PREDICTIVE MAINTENANCE AGENDA

More information

Sascha Schubert Product Manager Data Mining SAS EMEA Copyright 2005, SAS Institute Inc. All rights reserved.

Sascha Schubert Product Manager Data Mining SAS EMEA Copyright 2005, SAS Institute Inc. All rights reserved. Challenges for Data and Text Mining and how SAS addresses them Sascha Schubert Product Manager Data Mining SAS EMEA Predictive Analytics Process 1. Prepare Data 2. Develop Model (Analytical Training Set)

More information

Practices of Business Intelligence

Practices of Business Intelligence Tamkang University Practices of Business Intelligence II Tamkang University (Descriptive Analytics II: Business Intelligence and Data Warehousing) 1071BI05 MI4 (M2084) (2888) Wed, 7, 8 (14:10-16:00) (B217)

More information

EUROPRACTICE IMEC ASIC SERVICES. ESA : 15th Feb Danny Lambrichts

EUROPRACTICE IMEC ASIC SERVICES. ESA : 15th Feb Danny Lambrichts EUROPRACTICE IMEC ASIC SERVICES ESA : 15th Feb 2010 Danny Lambrichts Outline General FM procurement FM qualification of a Dare 180 nm Mixed signal Asic 3 General FM ProcurEment flow Imec/customer Design

More information

Copyr i g ht 2013, SAS Ins titut e Inc. All rights res er ve d. RESERVOIR MANAGEMENT: WATER DRIVE OPTIMIZATION

Copyr i g ht 2013, SAS Ins titut e Inc. All rights res er ve d. RESERVOIR MANAGEMENT: WATER DRIVE OPTIMIZATION RESERVOIR MANAGEMENT: WATER DRIVE OPTIMIZATION OPTIMIZE PRODUCTION WITH INTELLIGENT WELL MANAGEMENT 1. Sample 2. Explore 3. Uncertainty 5. Matching 4. Probabilistic Analysis 6. Strategy Optimization 7.

More information

SIMULATING TEST PROGRAM METHODS IN SEMICONDUCTOR ASSEMBLY TEST FACTORIES. Chad D. DeJong

SIMULATING TEST PROGRAM METHODS IN SEMICONDUCTOR ASSEMBLY TEST FACTORIES. Chad D. DeJong Proceedings of the 2001 Winter Simulation Conference B. A. Peters, J. S. Smith, D. J. Medeiros, and M. W. Rohrer, eds. SIMULATING TEST PROGRAM METHODS IN SEMICONDUCTOR ASSEMBLY TEST FACTORIES Chad D. Intel

More information

CASE STUDY Delivering Real Time Financial Transaction Monitoring

CASE STUDY Delivering Real Time Financial Transaction Monitoring CASE STUDY Delivering Real Time Financial Transaction Monitoring Steve Wilkes Striim Co-Founder and CTO Background Customer is a US based Payment Systems Provider Large Network of ATM and Cashier Operated

More information

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT)

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) To enhance the quality control and achieve the zero defect target for automotive grade parts, we need

More information

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Systems & Technology Group Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Ben Mashak, Howard Chen and Bill Hovis {mashak, haowei, hovis}@us.ibm.com Outline

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

ALM120 Application Lifecycle Management 12.x Essentials

ALM120 Application Lifecycle Management 12.x Essentials Course Data Sheet ALM120 Application Lifecycle Management 12.x Essentials Course No.: ALM120-125 Category/Sub Category: Application Development Management/ALM For software version(s): 12.5 Software version

More information

Analytics to the rescue How to blend asset hierarchies with reports. Dr Pierre Marchand, Industry Consultant 24-Sep-2014

Analytics to the rescue How to blend asset hierarchies with reports. Dr Pierre Marchand, Industry Consultant 24-Sep-2014 Analytics to the rescue How to blend asset hierarchies with reports Dr Pierre Marchand, Industry Consultant 24-Sep-2014 Manage Asset Integrity One of the most complex challenges across industries Keep

More information

IBM SPSS Modeler Personal

IBM SPSS Modeler Personal IBM Modeler Personal Make better decisions with predictive intelligence from the desktop Highlights Helps you identify hidden patterns and trends in your data to predict and improve outcomes Enables you

More information

Application Note. Application Note. Electrochemical Impedance Spectroscopy - A Battery Monitoring and Fault Diagnostic Tool Introduction

Application Note. Application Note. Electrochemical Impedance Spectroscopy - A Battery Monitoring and Fault Diagnostic Tool Introduction Application Note Electrochemical Impedance Spectroscopy - A Battery Monitoring and Fault Diagnostic Tool Introduction Application Note Integration of new battery technologies for portable devices and automotive

More information

Cost Versus Reliability Tradeoffs for Stacked Die Steve Steps, Aehr Test Systems,

Cost Versus Reliability Tradeoffs for Stacked Die Steve Steps, Aehr Test Systems, Cost Versus Reliability Tradeoffs for Stacked Die Steve Steps, Aehr Test Systems, ssteps@aehr.com 1 Agenda Why Burn in? Wafer Level Burn In (WLBI) cost versus benefit case studies Simple DRAM package DRAM

More information

Circuit Breaker Asset Management Using Intelligent Electronic Device (IED)- Based Health Monitoring

Circuit Breaker Asset Management Using Intelligent Electronic Device (IED)- Based Health Monitoring 21, rue d Artois, F-75008 PARIS CIGRE US National Committee http : //www.cigre.org 2014 Grid of the Future Symposium Circuit Breaker Asset Management Using Intelligent Electronic Device (IED)- Based Health

More information

WHAT HAS AGILE DONE FOR TESTING?

WHAT HAS AGILE DONE FOR TESTING? 1 WHAT HAS AGILE DONE FOR TESTING? Agile Tour 2013 Ken Brennock KenBrennock@gmail.com WHO AM I? 2 WHAT PROCESS ARE BEING USED? Agile - 35% No Formal Process - 31% Iterative 21% Waterfall 13% Survey of

More information

Enhancement Mode GaN FETs and ICs Visual Characterization Guide

Enhancement Mode GaN FETs and ICs Visual Characterization Guide Enhancement Mode GaN FETs and ICs Visual Characterization Guide EFFICIENT POWER CONVERSION Alana Nakata, Vice President, Product Engineering, Efficient Power Conversion Corporation A detailed description

More information

Building the In-Demand Skills for Analytics and Data Science Course Outline

Building the In-Demand Skills for Analytics and Data Science Course Outline Day 1 Module 1 - Predictive Analytics Concepts What and Why of Predictive Analytics o Predictive Analytics Defined o Business Value of Predictive Analytics The Foundation for Predictive Analytics o Statistical

More information

Integrated Electrical Test within the Production Line.

Integrated Electrical Test within the Production Line. Integrated Electrical Test within the Production Line. Michael Smith. Teradyne Inc. North Reading. MA. Abstract Many companies use one stop testing as a solution to the test issues in a manufacturing environment

More information

: What are examples of data science jobs?

: What are examples of data science jobs? by Daniel J. Power Editor, DSSResources.COM Data scientist is the "new", "hot", "sexy" and high paying job associated with decision support and analytics. Why? Because data scientists are "the key to realizing

More information

OpenShift Dedicated: An Inmarsat Story

OpenShift Dedicated: An Inmarsat Story INMARSAT OpenShift Dedicated: An Inmarsat Story Kevin Crocker Integration and Interoperability Centre of Excellence Copyright Inmarsat Global Limited 2017 OpenShift Dedicated: An Inmarsat Story Outline

More information

Using SAS Enterprise Miner for Categorization of Customer Comments to Improve Services at USPS

Using SAS Enterprise Miner for Categorization of Customer Comments to Improve Services at USPS Using SAS Enterprise Miner for Categorization of Customer Comments to Improve Services at USPS Olayemi Olatunji, United States Postal Service Office of Inspector General, Arlington, VA ABSTRACT Delivering

More information

Altera s Roadmap. Looking Forward Altera Corporation

Altera s Roadmap. Looking Forward Altera Corporation Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera

More information

Business Analytics & Data Mining Modeling Using R Dr. Gaurav Dixit Department of Management Studies Indian Institute of Technology, Roorkee

Business Analytics & Data Mining Modeling Using R Dr. Gaurav Dixit Department of Management Studies Indian Institute of Technology, Roorkee Business Analytics & Data Mining Modeling Using R Dr. Gaurav Dixit Department of Management Studies Indian Institute of Technology, Roorkee Lecture - 02 Data Mining Process Welcome to the lecture 2 of

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information