Lessons Learned in Deploying Part Average Testing in a Production Environment

Size: px
Start display at page:

Download "Lessons Learned in Deploying Part Average Testing in a Production Environment"

Transcription

1 The World Leader in High Performance Signal Processing Solutions Lessons Learned in Deploying Part Average Testing in a Production Environment Kieran Horgan, Analog Devices Philippe Lejeune, Galaxy Semiconductor Solutions 1

2 Agenda Lessons learned at ADI (Kieran Horgan) PAT Process Overview Yield Learning Lessons learned at other companies (Philippe Lejeune) Combining different types of outlier removal 2

3 PAT Background at ADI Automated Part Average Test solutions were released into Analog Devices wafer sort areas (Probe) in 2005 Initially implemented to satisfy Automotive Market sector requirements Have extended use of PAT into specific Consumer products Discovered hidden value of PAT to aid continuous improvement activities in Probe 3

4 PAT Process : Release to Production Product Development Product Pre-release Release to Manufacturing Test Engineer Product Engineering DataLog DataLog DataLogs Wafermaps Qty PAT Recipe Result Test Engineers run product and create datalogs and wafermap for Product Product Engineering analyse datalogs and wafermaps and generate Part Average Test recipes QA Analysis and Approval of Results and Prior Analysis 4

5 PAT Process : Production Operation Wafer Sort (Probe) Automated PAT PAT Yield Analysis PAT Recipe Result Feedback PATENGINE DataLog DataLog DataLogs Wafermaps Production is Run Datalogs and Wafermaps are Generated 5 Post-Sort, the Datalogs are Processed in PAT engine, and Wafermaps and Reports are Generated Yield Engineering Review PAT yield losses and Categorise loss. Data is feed back to the relevant groups for analysis

6 Defect Types Yield Engineering Groups broadly classify failures seen at PAT: Material Defect Loss Loss due to genuine material defect Systematic Defect Loss Loss due to variation or instability in the Process Yield data fed back to Engineering as part of continuous improvement process 6

7 Material Defect Loss Results from Current and Leakage Test PAT analysis Typically Random in Nature Outliers to the Test Distribution Highlights die with Potential Defect and Reliability issues Die are graded as Fail and removed from population Defect Issues are fed back to wafer Fab and Sort Engineers 7

8 Systematic Defect Loss Results from Current and Leakage Test PAT analysis, and Good Die bad neighbourhood analysis Can be seen as Patterns on a wafer Outliers to the Test Distribution Highlights die with Potential Defect and Reliability issues Die are graded as Fail and removed from population Defect Issues are fed back to wafer Fab and Sort Engineers 8

9 Systematic Defect Loss Loss at PAT is typically higher for Systematic Defect Loss than Material Defect Loss Systematic Defect Loss highlights Processing issues Continuous Monitoring of Systematic PAT loss is part of the Continuous Improvement process at Analog Devices Results from PAT guide Engineering to review the process steps and make improvements 9

10 Systematic Defect Loss Continuous Improvement Material Handling Pre-Lot Verifications Golden Device Testing Post-Lot Verifications Yield Monitoring Audits Equipment Specifications Equipment Standardisation Upgrades to Equipments for Process Improvements Equipment Monitoring Equipment Calibration Equipment verifications DUT board verifications Probe Ring verifications Data Integrity Systems Automated Product setups MES integration 10

11 Lessons Learned PAT can lead to both lower DPM and improved yield PAT loss review is integral to the Continuous Improvement and Quality process Separation/Classification of PAT loss types (systematic or defect) is Key Systematic Defects occur as a result of variation or Instability in the Wafer/Probe Process Minimising variation decreases PAT loss and improves processes for all material 11

12 LESSONS LEARNED AT OTHER PAT DEPLOYMENTS Philippe Lejeune, CTO, Galaxy Semiconductor Solutions 12

13 Example of Parametric Outlier 13

14 Example of Geographic Outliers Good die in a bad neighborhood Edge die exclusion Die location history Target Die 14

15 PAT Issues at Die-size Extremes Geographic rules break down for large die Parametric rules miss outliers for small die 15

16 The Value of Hybrid Recipes Combining Multiple PAT Rules Clustering analysis Filters analysis over specific bins and/or masks NNR Mix of local geographic with parametric for finer outlier resolution Minimize unnecessary yield loss 16

17 Issues for PAT: Too Much Spread in the Distribution for Parametric Tests This distribution has zero DPAT fallout no outliers 17

18 But There are Outliers Present! These die are OUTLIERS! 18

19 Solution: NNR (Clustering Analysis) Reduce the sample count to contain local die only Filters analysis over specific bins or masks (eg: outer ring) 19

20 With Reduced Sample Count Outliers Become Visible 20

21 Near Neighbor Residual (NNR) Analysis NNR Analysis to find devices that do not match their neighbors, but are part of the normal wafer distribution. Iddq 21

22 Summary of PAT Lesson Learned Efficient PAT requires flexible rules Richness of PAT rules is instrumental for low yield hit Combining PAT rules offers better coverage Bottom line: PAT as part of a continuous improvement process can result in lower DPM and yield gain. Not just for automotive 22

23 23 Q&A

Breakthrough in Quality management. Dan Glotter CEO & Founder OptimalTest

Breakthrough in Quality management. Dan Glotter CEO & Founder OptimalTest Breakthrough in Quality management Dan Glotter CEO & Founder OptimalTest P#2 Devices Quality drive profitability Big electronics players changed the game rules Consumer mass production products like cell

More information

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest Escape prevention & RMA management Dan Glotter CEO & Founder OptimalTest Trends driving quality (1) -- Wafer level packaging -- (WLCSP WCSP WLP WLBGA) For the last few years new Wafer Level Packaging technology

More information

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT)

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) To enhance the quality control and achieve the zero defect target for automotive grade parts, we need

More information

Adapting to Adaptive Testing

Adapting to Adaptive Testing Adapting to Adaptive Testing Erik Jan Marinissen 1 Adit Singh 2 Dan Glotter 3 Marco Esposito 3 John M. Carulli Jr. 4 Amit Nahar 4 Kenneth M. Butler 4 Davide Appello 5 Chris Portelli 6 1 IMEC vzw Kapeldreef

More information

IEOR 130 Methods of Manufacturing Improvement Fall, 2016, Prof. Leachman Homework Assignment #5, Due Thursday, Oct. 6, 2016

IEOR 130 Methods of Manufacturing Improvement Fall, 2016, Prof. Leachman Homework Assignment #5, Due Thursday, Oct. 6, 2016 IEOR 130 Methods of Manufacturing Improvement Fall, 2016, Prof. Leachman Homework Assignment #5, Due Thursday, Oct. 6, 2016 1. The management of a wafer fabrication facility is trying to sort out how much

More information

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017 Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs David W. Price, Ph.D. Robert J. Rathert April, 2017 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability

More information

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics Until recently, the semiconductor industry religiously

More information

MAY 16 & 17, 2018 CLEVELAND PUBLIC AUDITORIUM, CLEVELAND, OHIO

MAY 16 & 17, 2018 CLEVELAND PUBLIC AUDITORIUM, CLEVELAND, OHIO Fusion Cloud / E-Business Suite Change Tracking and Comparisons MAY 16 & 17, 2018 CLEVELAND PUBLIC AUDITORIUM, CLEVELAND, OHIO WWW.NEOOUG.ORG/GLOC Agenda Introduction Sources of Change Challenges ConfigSnapshot

More information

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis DATASHEET YieldManager Customizable yield management for IC manufacturers Overview For semiconductor foundries and IDMs that must maintain high yield for their products and real-time identification of

More information

Click to edit Master title style

Click to edit Master title style Applying the CMOS Test Flow to MEMS Click to edit Master title style Manufacturing Mike Daneman InvenSense, Inc. Overview InvenSense Overview Test vs. Fabrication Model CMOS Model Traditional MEMS Model

More information

TELeMetrics. Derek Bennett Field Applications Engineer Test Systems / BU Ops

TELeMetrics. Derek Bennett Field Applications Engineer Test Systems / BU Ops TELeMetrics Derek Bennett Field Applications Engineer Test Systems / BU Ops TELeMetrics Provides a secure connection from TEL probers to TEL servers for sharing log, utilization, and performance data Facilitates

More information

Alternatives to Vertical Probing

Alternatives to Vertical Probing Alternatives to Vertical Probing Philip W. Seitzer Distinguished Member of Technical Staff Equipment Engineering & Development Lucent Technologies, Allentown, PA 6/4/00 1 Outline Vertical Probing Background

More information

LEAN INNOVATION - R&D.

LEAN INNOVATION - R&D. LEAN INNOVATION - R&D www.fourprinciples.com BACKGROUND Generating innovative ideas and developing them into competitive products is vital to being genuinely successful in an ever more competitive marketplace.

More information

Implementing Inkless Wafer Sort. by: Mark Banke, Altera Corp. June 2006

Implementing Inkless Wafer Sort. by: Mark Banke, Altera Corp. June 2006 Implementing Inkless Wafer Sort by: Mark Banke, Altera Corp. June 2006 Implementing Inkless Wafer Sort Introduction Benefits - Why implement inkless wafer sort? Inkless process flow example Implementation

More information

Meeting the New Challenges of Test

Meeting the New Challenges of Test Pintail Technologies, Inc. Meeting the New Challenges of Test Southwest Test Workshop June 8, 2005 www.pintail.com Micky Ray Program Manager micky.ray@pintail.com What are the challenges? Cost of test

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

Camstar Semiconductor Suite

Camstar Semiconductor Suite Camstar Semiconductor Suite Global MES to keep pace with demanding change in frontend and backend operations Benefits Rapidly implement a manufacturing execution system platform for maximum ROI Increase

More information

Flosum FLOSUM. Application Lifecycle Management

Flosum FLOSUM. Application Lifecycle Management FLOSUM Application Lifecycle Management Agenda Current trends & challenges Solution & Architecture Development approach Phasing & roadmap Current Trends Geographically dispersed teams Agile Development

More information

Software Testing Life Cycle

Software Testing Life Cycle Software Testing Life Cycle STLC (Software Testing Life Cycle) is an integral component of SDLC (Software Development Life Cycle). Testing has become a distinct phenomenon during and after the development

More information

Case studies of Wafer Sort Floor Problems. Darren James SWTW Committee Member

Case studies of Wafer Sort Floor Problems. Darren James SWTW Committee Member Case studies of Wafer Sort Floor Problems Darren James SWTW Committee Member Applying What We Learned We ve completed Gage R&R Study We know we have a solid metrology tool We know the limits of our metrology

More information

WMS Solution Overview

WMS Solution Overview Optimizing Supply Chain Performance WMS Solution Overview 2016 Savant Software, Inc. SOlution Highlights The Savant WMS was designed to help your organization solve its unique business problems by providing

More information

Statistics for Managers Using Microsoft Excel 7 th Edition

Statistics for Managers Using Microsoft Excel 7 th Edition Statistics for Managers Using Microsoft Excel 7 th Edition Chapter 1 Defining & Collecting Data Statistics for Managers Using Microsoft Excel 7e Copyright 2014 Pearson Education, Inc. Chap 1-1 Learning

More information

ISO 9001:2000 Drives Process Changes at Siemens

ISO 9001:2000 Drives Process Changes at Siemens Select Q&A, M. Davis Research Note 20 December 2002 ISO 9001:2000 Drives Process Changes at Siemens Siemens Medical Solutions Health Services is an early enterprise vendor adopter of ISO 9001:2000. It

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 1. The thickness of a film deposited on wafers at a particular process step is subject

More information

CHAPTER 1 Defining and Collecting Data

CHAPTER 1 Defining and Collecting Data CHAPTER 1 Defining and Collecting Data In this book we will use Define the variables for which you want to reach conclusions Collect the data from appropriate sources Organize the data collected by developing

More information

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development James M. Eastham, Member, IEEE Director, Product Development Engineering at TriQuint Semiconductor james.eastham@ieee.org

More information

The Fab Experience How I stopped whining and started to appreciate Process

The Fab Experience How I stopped whining and started to appreciate Process The Fab Experience How I stopped whining and started to appreciate Process Presented by: Michael Stahl, SW Validation Architect, PerC Developed with: Ron Moussafi, SW Engineering manager, CSG Oct, 2014

More information

CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE

CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE Agenda Why Financial drivers How Requirements/Expectations What s next Tomorrow solutions INDUSTRY & COSTS Manufacturing >1 month >1000

More information

Major Product Crisis: 8D Resolution Process With JMP Véronique Audran-Esturillo, Jerome Bonnouvrier, Franck Richard ULIS

Major Product Crisis: 8D Resolution Process With JMP Véronique Audran-Esturillo, Jerome Bonnouvrier, Franck Richard ULIS Abstract Methods Conclusions In the past, faced a major crisis which impacted the functionality of the big-runner products. The application JMP was successfully used during the main steps of crisis resolution,

More information

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Alisa Blauberg, Vikas Sachan, John Lemon, Garo Derderian GLOBALFOUNDRIES

More information

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division Analog Semiconductor Leaders Forum Dongbu HiTek s Analog Manufacturing Competitiveness Shaunna Black SVP Manufacturing Division Introduction Dongbu HiTek Manufacturing Division One of the Top 5 Semiconductor

More information

Wafer probe challenges for the automotive market Luc Van Cauwenberghe

Wafer probe challenges for the automotive market Luc Van Cauwenberghe Wafer probe challenges for the automotive market Luc Van Cauwenberghe ON Semiconductor Overview Automotive wafer probe requirements Results of experiments Summary Follow on Work Acknowledgements 2 Automotive

More information

WHITE PAPER. Building potential QA Teams - A no cost Metrics based Approach PRESENTED IN STC 2013 PRESENTED BY. Building Num 14 Building Num 14

WHITE PAPER. Building potential QA Teams - A no cost Metrics based Approach PRESENTED IN STC 2013 PRESENTED BY. Building Num 14 Building Num 14 WHITE PAPER ON Building potential QA Teams - A no cost Metrics based Approach PRESENTED IN STC 2013 PRESENTED BY Uppuluri Pranitha B.V.S.S.R.S.SASTRY syamala_p_uppuluri@uhc.com sastry_bvssrs@uhc.com United

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

BUSS1020. Quantitative Business Analysis. Lecture Notes

BUSS1020. Quantitative Business Analysis. Lecture Notes BUSS1020 Quantitative Business Analysis Lecture Notes Week 1: Unit Introduction Introduction Analytics is the discover and communication of meaningful patterns in data. Statistics is the study of the collection,

More information

Toshiba gains an information advantage with visual, interactive analytics

Toshiba gains an information advantage with visual, interactive analytics Case Study High-Tech Manufacturing Toshiba gains an information advantage with visual, interactive analytics Spotfire DecisionSite gives Toshiba an analysis platform that helps engineering and business

More information

Apply Lean Methodology-VSM to Improve IT Regression Testing

Apply Lean Methodology-VSM to Improve IT Regression Testing Apply Lean Methodology-VSM to Improve IT Regression Testing QAI STC 2013 Vandana Singh Quality Analyst Email: VandanaSingh@Eaton.com Eaton Technologies EON Free Zone, Cluster B, Wing 3, Plot no. 1, survey

More information

Physical Inventory Counts and Physical Inventory Journal

Physical Inventory Counts and Physical Inventory Journal Physical Inventory Counts and Physical Inventory Journal Owner of this document is: Scott Wiacek swiacek@feedingamerica.org Purpose of this document This document outlines using the Physical Inventory

More information

Software Engineering Inspection Models Continued

Software Engineering Inspection Models Continued Software Engineering Inspection Models Continued Structure Review of the CMM/CMMI model /CMMI model Steps/Levels Management views of levels CMMI context Capability Maturity Models SEI developed the CMM

More information

How I Learned to Stop Worrying and Love Benchmarking Functional Verification!

How I Learned to Stop Worrying and Love Benchmarking Functional Verification! How I Learned to Stop Worrying and Love Benchmarking Functional Verification! Mike Bartley Test and Verification Solutions SETsquared Business Acceleration Centre University Gate East, Park Row Bristol

More information

Optimizing the cost of test for multi die systems in packaging (SIP) Presented at MEPTEC Omer Dossani, Sr. Director Test Technology and Marketing

Optimizing the cost of test for multi die systems in packaging (SIP) Presented at MEPTEC Omer Dossani, Sr. Director Test Technology and Marketing Optimizing the cost of test for multi die systems in packaging (SIP) Presented at MEPTEC Omer Dossani, Sr. Director Test Technology and Marketing Introduction The cost of test is a vast field which starts

More information

Process Improvement. Ian Sommerville 2004 Software Engineering, 7th edition. Chapter 28 Slide 1

Process Improvement. Ian Sommerville 2004 Software Engineering, 7th edition. Chapter 28 Slide 1 Process Improvement Ian Sommerville 2004 Software Engineering, 7th edition. Chapter 28 Slide 1 Objectives To explain the principles of software process improvement To explain how software process factors

More information

Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014

Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014 Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014 Nikhil Dakwala ndakwala@broadcom.com Broadcom Corporation, Irvine, CA 3/30/2014 1 Presentation Outline Terms and acronyms Need

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

Together, they re better. NICE Quality Central + Nexidia Analytics. Faster insights. Better outcomes.

Together, they re better. NICE Quality Central + Nexidia Analytics. Faster insights. Better outcomes. Together, they re better. NICE Quality Central + Nexidia Analytics. Faster insights. Better outcomes. NICE Quality Central Powered by Nexidia Analytics Better Together Align Quality Processes The contact

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Jennifer Ann Mason Director of Innovation & Strategic Solutions, Planet Technologies

Jennifer Ann Mason Director of Innovation & Strategic Solutions, Planet Technologies About Us Jennifer Ann Mason Director of Innovation & Strategic Solutions, Planet Technologies @JenniferMason jenniferann@jenniferannmason.com www.jenniferannmason.com Corey Roth Director of Services and

More information

The use of CAATS in Auditing Application Controls. Institute Of Internal Auditors Zambia/ISACA Zambia Chapter, 28 August 2014 Tricha Simon

The use of CAATS in Auditing Application Controls. Institute Of Internal Auditors Zambia/ISACA Zambia Chapter, 28 August 2014 Tricha Simon The use of CAATS in Auditing Application Controls Institute Of Internal Auditors Zambia/ISACA Zambia Chapter, 28 August 2014 Tricha Simon Agenda IT Influence on audit Auditing Around the Computer CAATS

More information

Electronic Data Validation Using the Locus Environmental Information Management System. Tricia Walters Locus Technologies

Electronic Data Validation Using the Locus Environmental Information Management System. Tricia Walters Locus Technologies Electronic Data Validation Using the Locus Environmental Information Management System Tricia Walters Locus Technologies Locus Technologies 2014 Agenda Introduction Environmental Information Management

More information

Predicting Water Leakage Across Yorkshire using the PI System

Predicting Water Leakage Across Yorkshire using the PI System Predicting Water Leakage Across Yorkshire using the PI System Presented by Andy Sewell Alastair Norman Overview COMPANY and GOAL Yorkshire Water & Capula have established 5+5year framework partnership

More information

Clustering Based Evaluation of IDDQ Measurements: Applications in Testing and Classification of ICs

Clustering Based Evaluation of IDDQ Measurements: Applications in Testing and Classification of ICs Clustering Based Evaluation of IDDQ Measurements: Applications in Testing and Classification of ICs Sri Jandhyala *, Hari Balachandran *, Manidip Sengupta*, Anura P. Jayasumana ** * Texas Instruments Inc.,

More information

BUSS1020 Quantitative Business Analysis

BUSS1020 Quantitative Business Analysis BUSS1020 Quantitative Business Analysis Week 1 - Introduction and Collecting Data Process of statistical analysis 1. Define the objective, and understand the data we need to collect. 2. Collect the required

More information

Automotive Test Advances

Automotive Test Advances Automotive Test Advances Implications on ASIC production tests for Fingerprint Cards AB - new requirements and yield consequences HENRIK FELDING MASTER S THESIS DEPARTMENT OF ELECTRICAL AND INFORMATION

More information

Upgrade & patch Infor M3 with 100% confidence. A guide to successful delivery

Upgrade & patch Infor M3 with 100% confidence. A guide to successful delivery Upgrade & patch Infor M3 with 100% confidence A guide to successful delivery I The path to Release 13.4, including patches, is perhaps the most complex and time-consuming programme of upgrades within the

More information

Key Electronic Products Driving Notable DFT Methodologies

Key Electronic Products Driving Notable DFT Methodologies Key Electronic Products Driving Notable DFT Methodologies May 2016 Kurian Varghese DFT Applications Engineer Key Test Technology Milestones Provided Universal Benefits Scan Design, circa 1980 Enabled broad

More information

A Power Users Guide to building Productivity Solutions in Office 365

A Power Users Guide to building Productivity Solutions in Office 365 A Power Users Guide to building Productivity Solutions in Office 365 Download the SPTechCon Mobile App! Search for SPTechCon in your App Store and download the 2018 Mobile App to stay connected throughout

More information

Improved overlay control using robust outlier removal methods

Improved overlay control using robust outlier removal methods Improved overlay control using robust outlier removal methods John C. Robinson 1, Osamu Fujita 2, Hiroyuki Kurita 2, Pavel Izikson 3, Dana Klein 3, and Inna Tarshish-Shapir 3 1 KLA-Tencor Corporation,

More information

Quality Assurance Analyst II

Quality Assurance Analyst II Quality Assurance Analyst II Location: [Asia & Pacific] [Philippines] Town/City: Pasig City Category: Information Technology PURPOSE OF POSITION: A Quality Assurance Analyst II works on multiple programs/systems

More information

Critical Considerations for Metrology and Inspection in Solar Manufacturing. Jeff Donnelly, Group VP - Growth & Emerging Markets July 2011

Critical Considerations for Metrology and Inspection in Solar Manufacturing. Jeff Donnelly, Group VP - Growth & Emerging Markets July 2011 Critical Considerations for Metrology and Inspection in Solar Manufacturing Jeff Donnelly, Group VP - Growth & Emerging Markets July 2011 Outline Process Control in Manufacturing Solar PV Trends Benefits

More information

DATASCOPE TM WMS. Warehouse Management System. Building your success. Version 3.0. Business Software Optimisation.

DATASCOPE TM WMS. Warehouse Management System. Building your success. Version 3.0. Business Software Optimisation. DATASCOPE TM Business Software Optimisation Building your success DATASCOPE TM WMS Warehouse Management System Version 3.0 1 Introducing Datascope Consulting Datascope Consulting is a progressive and growing

More information

PROJECT MANAGEMENT. Quality Management (QM) Course 7 Project Management Knowledge Areas (5) Risk Management (RM)

PROJECT MANAGEMENT. Quality Management (QM) Course 7 Project Management Knowledge Areas (5) Risk Management (RM) PROJECT MANAGEMENT Course 7 Project Management Knowledge Areas (5) Quality Management (QM) Risk Management (RM) Cătălina Mancaș QM + RM Processes: Inputs Tools & Techniques Outputs Project Quality Management

More information

Package Solutions and Innovations

Package Solutions and Innovations Package Solutions and Innovations with Compression Molding IEEE SVC CPMT Aug 2015 Presented by C.H. Ang Towa USA Company Profile www.cpmt.org/scv 1 Corporate Overview Company: Towa Corp., Kyoto Japan Established:

More information

PROCESS ANALYZERS FOR PURIFIED BULK GAS QC/QA: ENTERING THE PPT RANGE

PROCESS ANALYZERS FOR PURIFIED BULK GAS QC/QA: ENTERING THE PPT RANGE JPACSM 27 PROCESS ANALYZERS FOR PURIFIED BULK GAS QC/QA: ENTERING THE PPT RANGE Marco Succi SAES Getters S.p.A. Milano, Italy James G. Moncur and William L. Robinson Independent Consultants KEYWORDS: TRACE

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

Table of Contents. The advent of newer technologies deployed in a hosted, softwareas-a-service

Table of Contents. The advent of newer technologies deployed in a hosted, softwareas-a-service Assessing the Total Cost of a Technology Infrastructure Monitoring System The advent of newer technologies deployed in a hosted, softwareas-a-service (SaaS) deployment model raises a question for IT Operations

More information

A GIS-based road maintenancee management solution for improving your carbon and energy footprint

A GIS-based road maintenancee management solution for improving your carbon and energy footprint A GIS-based road maintenancee management solution for improving your carbon and energy footprint Haulage road condition is critical to the cost-effectiveness of large scale mining, forestry and agricultural

More information

Automated Analysis of Eddy Current Data Using RevospECT

Automated Analysis of Eddy Current Data Using RevospECT Automated Analysis of Eddy Current Data Using RevospECT 4th International CANDU In-service Inspection Workshop June 18-21, 2012 Toronto, Canada Tom O Dell General Manager, RevospECT Business and Field

More information

Evaluation of an Automated Pavement Distress Identification and Quantification Application

Evaluation of an Automated Pavement Distress Identification and Quantification Application Evaluation of an Automated Pavement Distress Identification and Quantification Application Jerome Daleiden, Nima Kargah-Ostadi (Fugro) Abdenour Nazef (Florida DOT) Road Profile User s Group (RPUG) Conference

More information

Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers

Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers Latent-Defect Screening Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers Ritesh P. Turakhia and W. Robert Daasch Portland State University Joel Lurkins

More information

Nexplant PLM. Accelerate your product development, with a smart and innovative solution. Development time reduced. Product quality improved

Nexplant PLM. Accelerate your product development, with a smart and innovative solution. Development time reduced. Product quality improved Accelerate your product, with a smart and innovative solution. time reduced Customer demands have grown more complicated and diverse Managers have poor visibility on the ongoing project info cannot be

More information

Standard Practice for Electromagnetic (Eddy-Current) Sorting of Ferrous Metals 1

Standard Practice for Electromagnetic (Eddy-Current) Sorting of Ferrous Metals 1 Designation: E 566 99 AMERICAN SOCIETY FOR TESTING AND MATERIALS 100 Barr Harbor Dr., West Conshohocken, PA 19428 Reprinted from the Annual Book of ASTM Standards. Copyright ASTM Standard Practice for

More information

Standard Practice for Electromagnetic (Eddy-Current) Sorting of Ferrous Metals 1

Standard Practice for Electromagnetic (Eddy-Current) Sorting of Ferrous Metals 1 Designation: E 566 99 AMERICAN SOCIETY FOR TESTING AND MATERIALS 100 Barr Harbor Dr., West Conshohocken, PA 19428 Reprinted from the Annual Book of ASTM Standards. Copyright ASTM Standard Practice for

More information

Standard Practice for Electromagnetic (Eddy-Current) Sorting of Nonferrous Metals 1

Standard Practice for Electromagnetic (Eddy-Current) Sorting of Nonferrous Metals 1 Designation: E 703 98 An American National Standard Standard Practice for Electromagnetic (Eddy-Current) Sorting of Nonferrous Metals 1 This standard is issued under the fixed designation E 703; the number

More information

POWERING CHANGE WITH A MODERN COE. Rich Woll Vice President, Services

POWERING CHANGE WITH A MODERN COE. Rich Woll Vice President, Services POWERING CHANGE WITH A MODERN COE Rich Woll Vice President, Services Agenda Forces of Change Automation Lessons Learned Modern Test Management Moving Forward as an Automation Leader Forces of Change Heterogenous

More information

Can Advanced Analytics Improve Manufacturing Quality?

Can Advanced Analytics Improve Manufacturing Quality? Can Advanced Analytics Improve Manufacturing Quality? Erica Pettigrew BA Practice Director (513) 662-6888 Ext. 210 Erica.Pettigrew@vertexcs.com Jeffrey Anderson Sr. Solution Strategist (513) 662-6888 Ext.

More information

Solutions for All Your Needs MANUFACTURING

Solutions for All Your Needs MANUFACTURING Solutions for All Your Needs MANUFACTURING MANUFACTURING nique portfolio provider of smart, interconnected devices able to protect, identify, sense, check and mark. We re focused on Automotive, Electronics,

More information

SYSTEM MODERNIZATION BEST PRACTICES

SYSTEM MODERNIZATION BEST PRACTICES tl SYSTEM MODERNIZATION BEST PRACTICES SYSTEM MODERNIZATION WORKING GROUP C1 5912-C aamva_systemmodernization_dvd_insert.indd 1 6/7/17 11:01 AM System Modernization Best Practices provides a roadmap to

More information

Effectively Manage and Scale IBM BPM Infrastructure to Support 30,000+ Users WUG - Edinburgh

Effectively Manage and Scale IBM BPM Infrastructure to Support 30,000+ Users WUG - Edinburgh Effectively Manage and Scale IBM BPM Infrastructure to Support 30,000+ Users WUG - Edinburgh Pundarik Ranchhod 25 September 2012 v2-1 Agenda Introductions! IBM BPM Deployment Challenges" Good Practices"

More information

Trends, Challenges, and Solutions in Advanced SoC Wafer Probe

Trends, Challenges, and Solutions in Advanced SoC Wafer Probe 3000.0 2500.0 2000.0 1500.0 1000.0 500.0 0.00-500.0-1000.0-1500.0 Design file: MSFT DIFF CLOCK WITH TERMINATORREV2.FFS Designer: Microsoft HyperLynx V8.0 Comment: 650MHz at clk input, J10, fixture attached

More information

Procedures. Model Procedure for Sampling Inspection of Isolated Lots. Procedure based on S-S-04

Procedures. Model Procedure for Sampling Inspection of Isolated Lots. Procedure based on S-S-04 Procedures Category: STATISTICAL METHODS Procedure: P-S-04-A (rev. 1) Page: i of ii Model Procedure for Sampling Inspection of Isolated Lots Procedure based on S-S-04 Category: STATISTICAL METHODS Procedure:

More information

Quality and Food Safety through the Control Systems

Quality and Food Safety through the Control Systems Quality and Food Safety through the Control Systems Francisco José Alferez Canales Automation Business Driver PS&E, TS&S, Packaging Coordination Tetra Pak Iberia / 1 Quality and Food Safety through the

More information

Mentor Safe IC ISO & IEC Functional Safety

Mentor Safe IC ISO & IEC Functional Safety Mentor Safe IC ISO 26262 & IEC 61508 Functional Alex Grove European Application Engineer Bryan Ramirez Strategic Marketing Manager Automotive Functional Professional Sanjay Pillay Functional Technologist

More information

ELECTROGLAS, INC. PAGE 1 ELECTROGLAS, INC. BACKGROUNDER COMPANY

ELECTROGLAS, INC. PAGE 1 ELECTROGLAS, INC. BACKGROUNDER COMPANY ELECTROGLAS, INC. PAGE 1 ELECTROGLAS, INC. BACKGROUNDER COMPANY For more than four decades, Electroglas, Inc., has been perfecting wafer prober technologies to deliver probers, prober-based test handlers

More information

Reliable, Repeatable Wafer and Tool Dispositioning in 300 mm Fabs

Reliable, Repeatable Wafer and Tool Dispositioning in 300 mm Fabs Process I n s p e c t i o n Reliable, Repeatable Wafer and Tool Dispositioning in 300 mm Fabs Bruce Johnson, Rebecca Pinto, Ph.D, and Stephen Hiebert, KLA-Tencor Corporation Advances in wafer fabrication

More information

OBJECTIVE VISIONARY OF CMMI HIGH MATURITY PRACTICES WITH AGILE & DEVOPS

OBJECTIVE VISIONARY OF CMMI HIGH MATURITY PRACTICES WITH AGILE & DEVOPS OBJECTIVE VISIONARY OF CMMI HIGH MATURITY PRACTICES WITH AGILE & DEVOPS NAME: Wael Philops TITLE: Manager QA & Risk ORGANIZATION: ITS AGENDA Who are we? ITS Development methodology Transformation To Agile

More information

A Practical Guide to Implementing Levels 4 and 5

A Practical Guide to Implementing Levels 4 and 5 A Practical Guide to Implementing Levels 4 and 5 CMMI Technology Conference & User Group 14-17 November 2005 Rick Hefner, Ph.D. Northrop Grumman Corporation Agenda An Overview of Levels 4 and 5 New Behaviors

More information

Sharper and smarter Our entire system is intelligently integrated to enable the fastest, most appropriate response to any incident.

Sharper and smarter Our entire system is intelligently integrated to enable the fastest, most appropriate response to any incident. VIDEO ANALYTICS Sharper. Smarter. At Avigilon, we enable our customers to be sharper and smarter with an end-to-end solution that helps them see what once could not be seen. By making the visual actionable,

More information

Quality Handbook. October, 2017 Memory Semiconductor Samsung Electronics, Ltd.

Quality Handbook. October, 2017 Memory Semiconductor Samsung Electronics, Ltd. Quality Handbook October, 2017 Memory Semiconductor Samsung Electronics, Ltd. Caution for Document License THIS DOCUMENT AND ALL INFORMATION PROVIDED HEREIN (COLLECTIVELY, INFORMATION ) IS PROVIDED ON

More information

MANUFACTURING EXECUTION SYSTEM

MANUFACTURING EXECUTION SYSTEM MANUFACTURING EXECUTION SYSTEM Critical Manufacturing MES, a comprehensive, proven and innovative software suite, empowers operations to move into future visions such as Industry 4.0. Compete better today

More information

aiultimate: All in One Inspection System Grayscale Color 3-D

aiultimate: All in One Inspection System Grayscale Color 3-D aiultimate: All in One Inspection System Grayscale Color 3-D Comprehensive Solution for: Color Inspection Surface Inspection 3D Web Inspection Height Profile Measurement Micron Defect Detection Dimension

More information

Stop Planning Semiconductor Chips Like Potato Chips!

Stop Planning Semiconductor Chips Like Potato Chips! epaper Series Version A.1.0 Stop Planning Semiconductor Chips Like Potato Chips! Overview It s no surprise that the Semiconductor industry is very asset-intensive, with long leadtimes and expensive capital

More information

Sr. Sergio Rodríguez de Guzmán CTO PUE

Sr. Sergio Rodríguez de Guzmán CTO PUE PRODUCT LATEST NEWS Sr. Sergio Rodríguez de Guzmán CTO PUE www.pue.es Hadoop & Why Cloudera Sergio Rodríguez Systems Engineer sergio@pue.es 3 Industry-Leading Consulting and Training PUE is the first Spanish

More information

Chapter 8 Analytical Procedures

Chapter 8 Analytical Procedures Slide 8.1 Principles of Auditing: An Introduction to International Standards on Auditing Chapter 8 Analytical Procedures Rick Hayes, Hans Gortemaker and Philip Wallage Slide 8.2 Analytical procedures Analytical

More information

ADC Solution Overview

ADC Solution Overview Optimizing Supply Chain Performance ADC Solution Overview 2015 Savant Software, Inc. SOlution Highlights Unlike most automated data collection (ADC) solutions that have evolved from technology integrators

More information

VISION MANAGEMENT SOLUTION

VISION MANAGEMENT SOLUTION VISION MANAGEMENT SOLUTION THE MOST ADVANCED MANAGEMENT SOLUTION ON THE MARKET TODAY, FUTURE-PROOFED TO SUPPORT CONTINUOUS GROWTH AND EVOLUTION IN THE RETAIL BANKING ENVIRONMENT. An NCR Buyer s Guide TAKE

More information

NCR APTRA Vision The business intelligence you need to make smarter decisions today, so you can achieve your goals tomorrow. An NCR Buyer s Guide

NCR APTRA Vision The business intelligence you need to make smarter decisions today, so you can achieve your goals tomorrow. An NCR Buyer s Guide NCR APTRA Vision The business intelligence you need to make smarter decisions today, so you can achieve your goals tomorrow An NCR Buyer s Guide Take out the guesswork on performance measurement With the

More information

Advances in Optical Densitometry for Low Dose Measurements

Advances in Optical Densitometry for Low Dose Measurements Advances in Optical Densitometry for Low Dose Measurements Ray Kuzbyt, Ron Eddy Core Systems Inc. Sunnyvale, CA, USA Nicholas R. White * Consultant Manchester, MA, USA Raymond Callahan Varian Semiconductor

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them Creates passivating

More information