Al 2 O 3 SiO 2 stack with enhanced reliability

Size: px
Start display at page:

Download "Al 2 O 3 SiO 2 stack with enhanced reliability"

Transcription

1 Al 2 O 3 SiO 2 stack with enhanced reliability M. Lisiansky, a A. Fenigstein, A. Heiman, Y. Raskin, and Y. Roizin Tower Semiconductor Ltd., P.O. Box 619, Migdal HaEmek 23105, Israel L. Bartholomew and J. Owyang Aviza Technology Inc., 440 Kings Village Road, Scotts Valley, California A. Gladkikh Wolfson Applied Material Research Center, Tel Aviv University, Tel Aviv 69978, Israel R. Brener, I. Geppert, E. Lyakin, B. Meyler, Y. Shnieder, S. Yofis, and M. Eizenberg Technion Israel Institute of Technology (Technion), Haifa 32000, Israel Received 16 July 2008; accepted 22 September 2008; published 9 February 2009 The authors developed a new Al 2 O 3 SiO 2 A-O stack for application as a high voltage complementary metal oxide semiconductor CMOS dielectric and/or top oxide in electrically erasable programmable read only memory floating gate and polysilicon-oxide-nitride-oxide-silicon embedded memories in advanced technology nodes. An amorphous atomic layer deposited alumina is doped with nitrogen and transformed into the crystalline phase by further rapid thermal process annealing. The 65 Å effective oxide thickness EOT stack allows operating voltages twice exceeding the values for thermal SiO 2 of the same EOT, has extremely low leakage currents, has negligible charge trapping, and is immune to degradation. Moreover, after alumina removal, the remaining strongly nitrided bottom oxide layer can be used as the gate dielectric of CMOS devices American Vacuum Society. DOI: / I. INTRODUCTION High-k materials have been widely employed in advanced complementary metal oxide semiconductor CMOS processes for aggressive scaling of the transistor gate dielectric effective oxide thickness. For a long time, high-k application was limited because of high local leakage currents, decreased mobility of channel electrons, strong charge trapping, and reliability problems. 1 The breakthrough was achieved after broad implementation of chemical vapor atomic layer deposition ALD technique. HfO 2 and its derivatives, ZrO 2, LnO 2,Al 2 O 3, and other oxide materials are extensively investigated. Alumina Al 2 O 3 having a relatively low dielectric constant k =8 10 is not considered as a candidate for silicon oxynitride substitution in advanced technologies nodes 65 nm and below but is one of the best candidates for replacing silicon dioxide in the next generations of floating gate FG and nitride based memory devices. 2,3 In addition to lower equivalent oxide thickness EOT, alumina provides efficient blocking of electron injection from the gate electrode, eliminating the erase saturation effect and thus increasing the erase efficiency. As demonstrated in our previous papers, 4,5 a special surface processing prior to Al 2 O 3 deposition combined with the postdeposition annealing PDA in special conditions allowed strong improvement of the nitride memory retention parameters. It was shown 6 that surface preparation of FG poly, is also critically important for alumina quality as an interpoly dielectric in FG memories. Al 2 O 3 is a friendly material in common CMOS technologies and does not require special front-end process lines for a Electronic mail: michaelx@towersemi.com its implementation. Several companies are already successfully using this material in electrically erasable programmable read only memory EEPROM devices. 7 In this article, we show that PDA similar to that reported before 4 but in combination with low-energy nitrogen doping of alumina further improves the alumina-oxide stack reliability. The obtained stack can be used as a high voltage CMOS dielectric in the embedded memory designs. Moreover, after alumina removal, the remaining strongly nitrided bottom oxide BOX layer is a promising gate dielectric in CMOS devices. II. EXPERIMENT Different alumina-oxide combinations on poly- and crystalline silicon were studied. The present article reports a structural and electrical study of the optimized A-O stack The 80 Å Al 2 O 3 films were deposited by ALD technique onto the 40 Å dry thermal BOX layers grown on p-si substrates by the reaction of trimethylaluminum TMA and ozone at 450 C in an Aviza Technology single wafer ALD system. The TMA was supplied to the reaction chamber by bubbling Ar carrier gas through the liquid held at a controlled temperature to maintain a fixed TMA vapor pressure. Ozone was generated in a remote unit by corona discharge at a controlled concentration of 180 g/m 3. TMA was injected first in a 0.2 s dose, followed by an Ar purge for 1.0 s; then O 3 was injected for 2.0 s to oxidize the precursor layer adsorbed on the surface, with another 2.0 s Ar purge before repeating the process cycle. Ar dilution gas was introduced in place of the chemicals during the purge cycles to maintain the same gas flow for a process pressure of 1.0 Torr in the chamber. The advantage of the ALD mode of deposition is the well-controlled thickness proportional to the number of 476 J. Vac. Sci. Technol. B 27 1, Jan/Feb /2009/27 1 /476/6/$ American Vacuum Society 476

2 477 Lisiansky et al.: Al 2 O 3 SiO 2 stack with enhanced reliability 477 FIG. 1. Optical thickness of alumina layer vs the number of process cycles; the typical thickness uniformity over a wafer is also denoted. deposition cycles. A plot of the optical thickness as measured on a KLA-Tencor F5x instrument versus the number of deposition cycles yields a growth rate of Å/cycle, as calculated by the slope of the curve in Fig. 1. The typical thickness uniformity for these process conditions was 1.0% sigma/mean or 2.0% range by 49-point, 3 mm edge exclusion on 200 mm wafers. After deposition the alumina layer was strongly doped with low energy nitrogen ions. After doping the dielectric stack was rapid thermal annealed in the N 2 /O 2 gas mixture at temperatures exceeding 830 C. 4 The reference dielectric stack without nitrogen doping but with the same PDA was produced to distinguish the effect of nitrogen in the modification of the A-O stack parameters The structural and chemical analysis of the as-grown alumina-oxide stack and its transformation induced by PDA was performed by using several characterization techniques. The properties of interfaces between the dielectric stack and metal or Si electrodes were controlled in parallel. Cross sections of multilayered structures were visualized using high resolution transmission electron microscopy HR TEM. The alumina film microstructure was controlled by atomic-force microscopy AFM in the contact mode. The alumina crystallographic structure was determined by x-ray diffraction XRD using a Cu K source. For identification of the chemical bonding of the nitrogen dopant, high-energy resolution x-ray photoelectron spectroscopy XPS measurements of the N 1s spectrum were performed with a monochromatized x-ray Al K ev source. All spectra were referenced to the Al 2p peak of alumina at 74.4 ev. XPS and auger electron spectroscopy in the depth profiling mode combined with time of flight secondary ion mass spectrometry TOF SIMS in front-side and back-side profiling modes were used for the analysis of the alumina film stoichiometry and chemical content of the whole dielectric stack. Electrical characterization was performed using Si-OAmetal capacitors with mm 2 electrodes. To increase the barrier height for electron injection into Al 2 O 3, a large work function metal Pt was used as the gate electrode FIG. 2. HR TEM image of A-O stack after PDA at T=950 C: a nitrogen doped stack; b reference undoped stack metal was sputtered through a shadow mask. Aluminum was sputtered at the back side of the Si wafer and served as the back contact. Charge to breakdown QBD and time to breakdown TDDB characteristics were measured to characterize the dielectric stack reliability. EOT, initial built-in charge, and trapping characteristics were extracted from the analysis of I-V and C-V characteristics. Mechanisms of carrier transport through the dielectric stack were analyzed using I-V characteristics measured at different temperatures. III. RESULTS AND DISCUSSION HR TEM images of the as-grown and annealed A-O stacks show that the PDA of nitrogen doped stack layer results in the densification of the amorphous alumina film from 80 to 68 Å; 15% thickness decrease at PDA temperature 950 C and its transformation into the crystalline phase Fig. 2 a. Crystallization and densification are observed also for the reference specimen that was not doped with nitrogen but underwent the same PDA procedure Fig. 2 b. The structure of the nitrogen doped and reference specimens have significant differences: 1 The interface with the BOX is abrupt while the thickness of the BOX does not change after annealing in the stack JVST B-Microelectronics and Nanometer Structures

3 478 Lisiansky et al.: Al 2 O 3 SiO 2 stack with enhanced reliability 478 FIG. 3. XRD spectra with diffraction peaks attributed to alumina hexagonal structure with nitrided alumina Fig. 2 a. On the contrary, an interfacial layer 1 nm is clearly seen in the reference stack while the total BOX thickness exceeds the 40 Å thickness of the initial BOX. This is consistent with the suppressed dopant diffusion through the nitrided poly-sio 2 boundary. 8 2 As was shown in our previous study, 4 the PDA of undoped alumina induces -Al 2 O 3 -like polymorph spinel, which is one of the alumina metastable crystalline phases. On the contrary, XRD pattern of the nitrogen doped alumina layer Fig. 3 corresponds to a thermodynamically stable -Al 2 O 3 polymorph corundum form, dominating in the crystalline structure of the alumina layer. Usually this phase forms at temperatures close to 1100 C. 9 Since our annealing temperature does not exceed 1000 C the difference may be attributed to nitrogen doping that stimulates -Al 2 O 3 polymorph formation at reduced temperatures. 10 AFM study shows an increase in the surface roughness after crystallization from 1.5 to 3 Å Fig. 4. Nevertheless, alumina roughness still remains at a very low level, indicating transformation of amorphous alumina into high quality texture. XPS analysis shows excellent stoichiometry of the asgrown ALD alumina layer with the Al/O ratio close to the ideal 2/ /59.89 at. % sapphire substrate was used as a reference in the XPS measurements. PDA of the reference stack generates deviation from this ideal stoichiometry chemical analysis shows the clear excess of metal in alumina layer, increasing with the PDA temperature. For the nitrogen doped samples the N 1s spectrum in amorphous alumina shows the presence of a well defined peak at ev which can be related either to molecular nitrogen or to nitrogen bonded to oxygen trapped at sites surrounded by oxygen atoms. No nitrogen signal was detected in the alumina layer after PDA probably due to nitrogen strong out-diffusion from alumina see below the results of TOF SIMS. TOF SIMS profiles of nitrogen in dielectric stack measured prior and after PDA are shown in Fig. 5 a. Prior to FIG. 4. AFM three dimensional images of alumina: a as deposited; b after annealing; 1 1 m 2 scanning scale. annealing nitrogen is concentrated in amorphous alumina curve 1 but in the process of crystallization a pronounced part of dopant out-diffuses to BOX and accumulates near the SiO 2 Si interface curves 2 4. The amount of nitrogen outdiffused from alumina into the BOX increases with PDA temperature and saturates at 950 C. In the saturation conditions the nitrogen concentration at the BOX interface with Si exceeds nearly tenfold the remaining nitrogen concentration in the alumina curve 4. To prove that the obtained data are not an artifact generated by atom mixing effect, we measured the nitrogen profile in BOX layer after alumina layer removal. The nitrogen profile measurements after alumina etch-off in orthophosphoric acid is shown in Fig. 5 b. The nitrogen profile in BOX has a maximum near the BOX-Si interface where nitrogen atomic content reaches 17%. We argue that the nitrogen peak at the SiO 2 Si interface exists already after PDA. Otherwise, the concentration of nitrogen at the BOX external surface should be larger than that at the SiO 2 Si interface in the beginning of the SIMS procedure for specimens with etched-off alumina. It can be concluded that the bottom oxide layer of the developed dielectric stack is an oxynitride layer. The XPS analysis of this oxynitride layer shows an1s peak at ev that can be assigned to nitrogen in the O N Si bonding configuration. Continuing to J. Vac. Sci. Technol. B, Vol. 27, No. 1, Jan/Feb 2009

4 479 Lisiansky et al.: Al 2 O 3 SiO 2 stack with enhanced reliability 479 FIG. 6. C-V characteristics 100 khz of the MOS capacitor with A-O dielectric stack and Pt gate electrode: 1 initial curve, 2 after negative stress at the gate electrode: 12 V, 1 s V t shift 50 mv. FIG. 5. Nitrogen concentration TOF SIMS in the A-O stack: a after nitrogen doping curve 1 and PDA at T 830 C curves 2 4 ; b in BOX after alumina removal analyze the SIMS results, it is important to mention at least a tenfold reduction in hydrogen concentration in the crystallized alumina. C-V curve of A-O stack after PDA at 950 C is shown in Fig. 6 curve 1. The EOT of the stack is 65 Å. No built-in charge was found in the stack. The following 12 V negative stress at the gate for 1 s results in a 50 mv shift of C-V, thus showing very low concentration of traps in the stack curves 1 and 2, respectively. The etch procedure of the alumina layer performed in the hot orthophosphoric acid exposes a BOX layer with 34 Å EOT. The capacitor structures were fabricated by sputtering of Pt electrodes through the same mask onto the surface of the exposed BOX. As mentioned above, the BOX was strongly nitrided dielectric constant k 4.6 though no nitrogen was introduced into SiO 2 only from the doped alumina. The k value is one of the highest known for intentionally nitrided gate oxides. 11 The I-V curves of the obtained BOX capacitors correspond to an order of magnitude smaller leakage compared with 32 Å pure oxide layer at V g =1.8 V for both polarities of voltage at the gate see Figs. 7 a and 7 b. This is consistent with the physical thickness of BOX 40 Å, which did not change after processing. Measurements at the positive gate polarity were accompanied by visible light illumination to generate electrons in the p-type substrate. The curve for the processed BOX in Fig. 7 b electron injection from the substrate is not only significantly shifted to higher voltages compared with the reference curves but also has a shape consistent with higher k values at the SiO 2 Si interface nitrogen peak in Fig. 5 b. In this case the value of the electrical field at the Si injecting electrode is lower so that the leakage currents are suppressed at voltages below 3.5 V. Figures 8 and 9 summarize the measurements of stack I-V characteristics in the C temperature range. The attempts to find a dominant conductivity mechanism by interpreting the results by Fowler Nordheim FN or Poole Frenkel PF models encounter difficulties. In the case of the FN mechanism Fig. 8 the experiment was fitted by suggesting that holes injected from the substrate dominated in the current through the dielectric stack. The expression for the FN current density I, I/E 2 = A exp G/E, 1 gives a reasonable fitting see Fig. 8 but with a preexponential factor A exp five orders of magnitude less than in the theory. 12 Here E is the electric field in the oxide and G is the exponential factor, not dependent on temperature. In our experiments, G=6.83 E6 m */m 0 b 3 V/cm was dependent on temperature see the graph of G versus reciprocal temperature 1/T at the inset of Fig. 7, similar to the results of Ref. 13. It is worthy to mention that the experimental value of G = 298 MV/ cm gives a very realistic product of m*/m 0 b 3 =19, where m*/m 0 is the effective mass of holes for tunneling and b is the hole barrier height. For the PF conductivity model Fig. 9 the theory describes the experimental data in the assumption that conduc- JVST B-Microelectronics and Nanometer Structures

5 480 Lisiansky et al.: Al 2 O 3 SiO 2 stack with enhanced reliability 480 FIG. 8. I E at different temperatures in FN coordinates: experimental points and fitting solid lines. Temperature dependence of the exponential factor see the inset G is close that given in Ref. 13 but the ratio of theory preexponential factor to experiment A th /A exp is FIG. 7. Comparison of leakage currents in alumina removed capacitor EOT 34 Å with the standard thermal gate oxide of the same EOT: a negative polarity on a gate; b positive polarity on a gate accompanied by a visible light illumination. gives the energy of electron traps in Al 2 O 3, q b = ev, which looks realistic. Nevertheless the temperature dependence of the I-V slope = q q/ 0 /kt see inset in Fig. 9 is far from the theory. Here q is the electron charge, 0 is the electric constant, and is the optical dielectric permittivity of alumina taken equal to the one of sapphire 3.2. Thus, we could not distinguish a dominant transport mechanism in A-O stack and had to assume that it was a combination of several known mechanisms working in different electrical fields and temperature ranges. Figure 10 shows the comparison of reliability tests of A-O stacks and 67 Å thermal oxides in the same experimental conditions. Since the transport mechanism was not finally revealed, the most conservative degradation acceleration scenario E model was used. Nevertheless, even in this case the value of V max 7.0 ev can be guaranteed for the developed A-O stack compared with 3.7 V for thermal gate oxide of the same EOT. The QBD of nitrided stacks increased up to 0.5 C/cm 2, which is approximately two orders of magnitude gain compared with QBDs for PDA processed reference stacks with the undoped alumina. tivity is defined by trapping in the alumina layer. The value of the electrical field in alumina E Al was estimated from the expression E Al =V G / d Al +d SiO2 Al / SiO2, where d Al is alumina thickness after PDA 68 Å, d SiO2 is the EOT of nitrided BOX 34 Å, and Al and SiO2 are the relative dielectric constants of alumina 9 and silicon dioxide 3.9, respectively. For the PF transport mechanism the expression I/E exp q b qe/ 0 /kt 2 FIG. 9. I E at different temperatures in PF coordinates: experimental points and fitting solid lines. The energy of traps b = ev in Al 2 O 3 looks realistic but the temperature dependence of I-V slope, see the inset, is far from theoretic predictions. J. Vac. Sci. Technol. B, Vol. 27, No. 1, Jan/Feb 2009

6 481 Lisiansky et al.: Al 2 O 3 SiO 2 stack with enhanced reliability 481 crystallization. Different applications including gate oxides with enhanced reliability and control gate dielectrics in flash memories are considered. ACKNOWLEDGMENTS The authors thank Axcelis Technologies, Inc. and S. Barusso for performing nitrogen doping. FIG. 10. Comparison of high voltage gate oxide 67 Å and A-O stack TDDB tests. The data were processed using the most conservative acceleration model E model. IV. CONCLUSION Outstanding reliability and degradation immunity performance of the specially processed alumina-sio 2 stacks intended for advanced CMOS technologies was demonstrated. The improvement is attributed to specific crystalline structure of the preliminary doped with nitrogen alumina film and strong in situ bottom oxide nitridation during the alumina 1 A. Toriumi and K. Kita, in Dielectric Films for Advanced Microelectronics, edited by M. Baklanov, M. Green, and K. Maex Wiley, Chippenham, UK, 2007, Chap. 7, pp C. H. Lee et al., IEEE NVSMW, Monterey, CA, February 2006, IEEE, New York, 2006, p T. Lee, C. Jang, B. Haselden, M. Dong, S. Park, L. Bartholomew, H. Chatham, and Y. Senzaki, J. Vac. Sci. Technol. B 22, M. Lisiansky et al., Appl. Phys. Lett. 89, Y. Roizin, E. Pikhay, M. Lisiansky, A. Heiman, E. Alon, E. Aloni, and A. Fenigstein, IEEE NVSMW, Monterey, CA, February 2006 IEEE, New York, 2006, p Y. Y. Chen, C. H. Chien, and J. C. Lou, Jpn. J. Appl. Phys., Part 1 44, J. R. Power et al., IEEE NVSMW, May 2008, Opio, France IEEE, New York, 2008, p T. Kuroi, S. Ueno, H. Oda, and S. Shimizu, U.S. Patent No. 6,521, I. Levin, Ph.D. thesis, Technion, C. Steiner, D. P. Hasselman, and R. M. Spriggs, J. Am. Ceram. Soc. 54, Y. Roizin and V. Gritsenko, in Dielectric Films for Advanced Microelectronics, edited by M. Balanov, M. Green, and K. Maex Wiley, Chippenham, UK, 2007, Chap. 6, pp S. M. Sze, Physics of Semiconductor Devices Wiley, New York, 1981, Chap. 7, p R. Moazzami and C. Hu, IEEE Electron Device Lett. 37, JVST B-Microelectronics and Nanometer Structures

ARTICLE IN PRESS. Materials Science in Semiconductor Processing

ARTICLE IN PRESS. Materials Science in Semiconductor Processing Materials Science in Semiconductor Processing ] (]]]]) ]]] ]]] Contents lists available at ScienceDirect Materials Science in Semiconductor Processing journal homepage: www.elsevier.com/locate/mssp High-dielectric

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition Hideyuki YAMAZAKI, Advanced LSI Technology Laboratory, Toshiba Corporation hideyuki.yamazaki@toshiba.co.jp

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water Philippe P. de Rouffignac, Roy G. Gordon Dept. of Chemistry,, Cambridge, MA gordon@chemistry.harvard.edu (617) 495-4017

More information

TANOS Charge-Trapping Flash Memory Structures

TANOS Charge-Trapping Flash Memory Structures TANOS Charge-Trapping Flash Memory Structures A Senior Design by Spencer Pringle 5/8/15 Table of Contents Motivation Why Charge-Trapping Flash (CTF)? Charge-Trapping vs. Floating Gate Electronically-Erasable

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

2-1 Introduction The demand for high-density, low-cost, low-power consumption, Chapter 2 Hafnium Silicate (HfSi x O y ) Nanocrystal SONOS-Type Flash Memory Fabricated by Sol-Gel Spin Coating Method Using HfCl 4 and SiCl 4 as Precursors 2-1 Introduction The demand for high-density,

More information

Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices Materials 2012, 5, 1413-1438; doi:10.3390/ma5081413 Review OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100)

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100) Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on H 3 -nitrided Si() Hiroshi akagawa, Akio Ohta, Fumito Takeno, Satoru agamachi, Hideki Murakami Seiichiro Higashi

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Author Haasmann, Daniel, Dimitrijev, Sima, Han, Jisheng, Iacopi, Alan Published 214 Journal Title Materials Science Forum DOI https://doi.org/1.428/www.scientific.net/msf.778-78.627

More information

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics METAL OXIDE SEMICONDUCTOR (MOS) DEVICES Term Paper Topic: Hafnium-based High-K Gate Dielectrics AUTHOR KYAWTHETLATT Content 1. High-k Gate Dielectric introduction 3 2. Brief history of high-k dielectric

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions Journal of the Korean Physical Society, Vol. 47, No. 3, September 2005, pp. 501 507 Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water Shiyang Zhu and Anri Nakajima Reserach Center for Nanodevices and Systems, Hiroshima University, 1-4-2

More information

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Microelectronic Engineering 77 (2005) 48 54 www.elsevier.com/locate/mee Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Minseong Yun a, Myoung-Seok Kim a, Young-Don

More information

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts Direct contacts between aluminum alloys and thin film transistors (TFTs) contact layers were studied. An Al-Ni alloy was found to be contacted directly with an indium tin oxide (ITO) layer successfully

More information

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE

More information

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition Nuclear Instruments and Methods in Physics Research B 206 (2003) 357 361 www.elsevier.com/locate/nimb Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted

More information

Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation Conditions

Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation Conditions Journal of the Korean Physical Society, Vol. 58, No. 5, May 2011, pp. 1169 1173 Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation

More information

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Cynthia N. Ginestra 1 Michael Shandalov 1 Ann F. Marshall 1 Changhyun Ko 2 Shriram Ramanathan 2 Paul C. McIntyre 1 1 Department

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Japanese Journal of Applied Physics Vol. 46, No. 5B, 27, pp. 3234 3238 #27 The Japan Society of Applied Physics Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Banani

More information

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology Swarna Addepalli, Prasanna Sivasubramani, Hongguo Zhang, Mohamed El-Bouanani, Moon J. Kim, Bruce

More information

J. Niinistö, M. Ritala, and M. Leskelä Department of Chemistry, University of Helsinki, Helsinki, Finland

J. Niinistö, M. Ritala, and M. Leskelä Department of Chemistry, University of Helsinki, Helsinki, Finland Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors S. Dueñas, a H. Castán, H. Garcia, A. Gómez,

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering Hengda Zhang Anthony Githinji 1. Background RuO2 in both crystalline and amorphous forms is of crucial importance for theoretical as

More information

Chapter 3. In this chapter, we use sol-gel method to combine three high-k precursors, i.e. HfCl 4, ZrCl 4 and SiCl 4 together to form hafnium silicate

Chapter 3. In this chapter, we use sol-gel method to combine three high-k precursors, i.e. HfCl 4, ZrCl 4 and SiCl 4 together to form hafnium silicate Chapter 3 Sol-Gel-Derived Zirconium Silicate (ZrSi x O y ) and Hafnium Silicate (HfSi x O y ) Co-existed Nanocrystal SONOS Memory 3-1 Introduction In the previous chapter, we fabricate the sol-gel-derived

More information

Deposited by Sputtering of Sn and SnO 2

Deposited by Sputtering of Sn and SnO 2 Journal of the Korean Ceramic Society Vol. 49, No. 5, pp. 448~453, 2012. http://dx.doi.org/10.4191/kcers.2012.49.5.448 Comparative Study of Nitrogen Incorporated SnO 2 Deposited by Sputtering of Sn and

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

RightCopyright 2006 American Vacuum Soci

RightCopyright 2006 American Vacuum Soci Title Gallium nitride thin films deposite magnetron sputtering Author(s) Maruyama, T; Miyake, H Citation JOURNAL OF VACUUM SCIENCE & (2006), 24(4): 1096-1099 TECHNOL Issue Date 2006 URL http://hdl.handle.net/2433/43541

More information

Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn

Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn after bubbling transfer. Scale bars (ad) 20 μm. Supplementary

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Passivation of InAs and GaSb with novel high dielectrics

Passivation of InAs and GaSb with novel high dielectrics Passivation of InAs and GaSb with novel high dielectrics Professor Minghwei HONG Department of Materials Science and Engineering, National Tsing Hua University 101, Section 2, Kuang-Fu Rd., Hsinchu, Taiwan,

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

1. Introduction. 2. Experiments. Paper

1. Introduction. 2. Experiments. Paper Paper Novel Method of Improving Electrical Properties of Thin PECVD Oxide Films by Fluorination of Silicon Surface Region by RIE in RF CF 4 Plasma Małgorzata Kalisz, Grzegorz Głuszko, and Romuald B. Beck

More information

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA HIPIMS Arc-Free Reactive Sputtering of Non-conductive Films Using the ENDURA 200 mm Cluster Tool: Direct Comparison Between Pulsed DC Pinnacle Plus and HIPIMS Cyprium Roman Chistyakov and Bassam Abraham

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION High Electrochemical Activity of the Oxide Phase in Model Ceria- and Ceria-Ni Composite Anodes William C. Chueh 1,, Yong Hao, WooChul Jung, Sossina M. Haile Materials Science, California Institute of Technology,

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

Ultrathin oxynitride formation by low energy ion implantation

Ultrathin oxynitride formation by low energy ion implantation Ultrathin oxynitride formation by low energy ion implantation A. Khoueir and Z. H. Lu Department of Metallurgy and Materials Science, University of Toronto, Toronto, Ontario M5S 3E4, Canada W. T. Ng Department

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Crystalline Silicon Technologies

Crystalline Silicon Technologies Crystalline Silicon Technologies in this web service in this web service Mater. Res. Soc. Symp. Proc. Vol. 1210 2010 Materials Research Society 1210-Q01-01 Hydrogen Passivation of Defects in Crystalline

More information

Electrical properties of interlevel deposited oxides related to polysilicon preparation

Electrical properties of interlevel deposited oxides related to polysilicon preparation Electrical properties of interlevel deposited oxides related to polysilicon preparation C. Cobianu, O. Popa, D. Dascalu To cite this version: C. Cobianu, O. Popa, D. Dascalu. Electrical properties of interlevel

More information

The charge trapping/emission processes in silicon nanocrystalline nonvolatile memory assisted by electric field and elevated temperatures

The charge trapping/emission processes in silicon nanocrystalline nonvolatile memory assisted by electric field and elevated temperatures PACS 73.50.Gr, 84.32.Tt, 85.30.Tv The charge trapping/emission processes in silicon nanocrystalline nonvolatile memory assisted by electric field and elevated temperatures V.A. Ievtukh, V.V. Ulyanov, A.N.

More information

Polycrystalline and microcrystalline silicon

Polycrystalline and microcrystalline silicon 6 Polycrystalline and microcrystalline silicon In this chapter, the material properties of hot-wire deposited microcrystalline silicon are presented. Compared to polycrystalline silicon, microcrystalline

More information

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003 Fabrication and Electrical Properties of Pure Phase Films B. G. Chae, D. H. Youn, H. T. Kim, S. Maeng, and K. Y. Kang Basic Research Laboratory, ETRI, Daejeon 305-350, Republic of Korea arxiv:cond-mat/0311616v2

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Review Literature for Mosfet Devices Using High- K

Review Literature for Mosfet Devices Using High- K Review Literature for Mosfet Devices Using High- K Prerna Teaching Associate, Deptt of E.C.E., G.J.U.S. &T., INDIA prernaa.29@gmail.com Abstract: With the advancement of MOS devices over 40 years ago,

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Effect of Hydrogen Treatment on Electrical Properties of Hafnium Oxide for Gate Dielectric Application

Effect of Hydrogen Treatment on Electrical Properties of Hafnium Oxide for Gate Dielectric Application JURNAL F SEMINDUTR TEHNLGY AND SIENE, VL.1, N. 2, JUNE, 2001 95 Effect of Hydrogen Treatment on Electrical Properties of Hafnium xide for Gate Dielectric Application Kyu-Jeong hoi, Woong-hul Shin, and

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel 2014.08.18 final examination Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel Department of Electronics and Applied Physics Iwai/Kakushima

More information

Implementation of high-k gate dielectrics - a status update

Implementation of high-k gate dielectrics - a status update Implementation of high-k gate dielectrics - a status update S. De Gendt 1,#, J.Chen 2, R.Carter, E.Cartier 2, M.Caymax 1, M. Claes 1, T.Conard 1, A.Delabie 1, W.Deweerd 1, V. Kaushik 2, A.Kerber 2, S.Kubicek

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

scattering study of phase separation at initially mixed HfO 2 -SiO

scattering study of phase separation at initially mixed HfO 2 -SiO ERC TeleSeminar In situ low-angle x-ray x scattering study of phase separation at initially mixed HfO -SiO thin film interfaces Paul C. McIntyre Jeong-hee Ha Department of Materials Science and Engineering,

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing Pulsed Nucleation Layer of Tungsten Nitride arrier Film and its Application in DRAM and Logic Manufacturing Kaihan Ashtiani, Josh Collins, Juwen Gao, Xinye Liu, Karl Levy Novellus Systems, Inc. 4 N. First

More information

Supplimentary Information. Large-Scale Synthesis and Functionalization of Hexagonal Boron Nitride. Nanosheets

Supplimentary Information. Large-Scale Synthesis and Functionalization of Hexagonal Boron Nitride. Nanosheets Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2014 Supplimentary Information Large-Scale Synthesis and Functionalization of Hexagonal Boron Nitride

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity

Supporting Information. Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S. Exhibiting Low Resistivity and High Infrared Responsivity Supporting Information Solution-Processed 2D PbS Nanoplates with Residual Cu 2 S Exhibiting Low Resistivity and High Infrared Responsivity Wen-Ya Wu, Sabyasachi Chakrabortty, Asim Guchhait, Gloria Yan

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Supplementary Information

Supplementary Information Supplementary Information Supplementary Figure 1 Characterization of precursor coated on salt template. (a) SEM image of Mo precursor coated on NaCl. Scale bar, 50 μm. (b) EDS of Mo precursor coated on

More information

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ION IMPLANTATION TO ADJUST NMOS THRESHOLD VOLTAGES MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology INTRODUCTION ABSTRACT NMOS processes require a variety

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Low temperature formation of nc-si by ICP-CVD with internal antenna. A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata

Low temperature formation of nc-si by ICP-CVD with internal antenna. A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata Low temperature formation of nc-si by ICP-CVD with internal antenna A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata Process Research Center, R & D Laboratories, Nissin Electric Co., Ltd., Umezu,

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 13: THERMAL- OXIDATION of SILICON 2004 by LATTICE PRESS Chapter 13: THERMAL-OXIDATION of SILICON n CHAPTER CONTENTS Applications of Thermal Silicon-Dioxide Physical

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 2492 2496 Part, No. 5A, May 2000 c 2000 The Japan Society of Applied Physics Passivation of O 2 / Interfaces Using High-Pressure-H 2 O-Vapor Heating Keiji SAKAMOTO

More information

Organic Light-Emitting Diodes. By: Sanjay Tiwari

Organic Light-Emitting Diodes. By: Sanjay Tiwari Organic Light-Emitting Diodes By: Sanjay Tiwari Inorganic Vs. Organic Material Properties Limitations At Early Stage Organic materials have often proved to be unstable. Making reliable electrical contacts

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

Contents. From microelectronics down to nanotechnology

Contents. From microelectronics down to nanotechnology Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns Contents From microelectronics down to nanotechnology sami.franssila@tkk.fi Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Scanning Transmission Electron Microscopy of Thin Oxides

Scanning Transmission Electron Microscopy of Thin Oxides Scanning Transmission Electron Microscopy of Thin Oxides Susanne Stemmer Materials Department University of California Santa Barbara Collaborators: Z. Chen, Y. Yang, D. Klenov (UCSB) W. J. Zhu, T.P. Ma

More information